Preferencje help
Widoczny [Schowaj] Abstrakt
Liczba wyników

Znaleziono wyników: 1

Liczba wyników na stronie
first rewind previous Strona / 1 next fast forward last
Wyniki wyszukiwania
help Sortuj według:

help Ogranicz wyniki do:
first rewind previous Strona / 1 next fast forward last
1
Content available Implementacja regulatora PID w strukturze FPGA
PL
W pracy przedstawiono sposób realizacji regulatora PID na matrycy FPGA. Omówiono implementację, wyniki symulacji stworzonego teoretycznego projektu oraz sposób jego fizycznej realizacji. Przedmiotem zainteresowania są możliwości wykonania w postaci cyfrowej jednego z typowych układów regulujących procesami, które dostosowują sygnał sterujący urządzeniem na podstawie aktualnej wartości wyjściowej obiektu. Zastosowana matryca jest rekonfigurowalnym układem ogólnego przeznaczenia, który po zaprogramowaniu pozwoli użytkownikowi wykorzystywanie jej jako regulatora PID bez konieczności nabywania specjalizowanego urządzenia.
EN
This article contains the method of realization the PID controller using the FPGA array. There were described the implementation, results of the simulation of the theoretical project and the methods of physical realization. The main topic is realizability of the digital version of the typical process controller, which adjusts the command signal basing on the output of the device. The used array is reconfigurable general purpose circuit, which after being programmed one can use as a PID controller without necessity of purchasing the specialistic device.
first rewind previous Strona / 1 next fast forward last
JavaScript jest wyłączony w Twojej przeglądarce internetowej. Włącz go, a następnie odśwież stronę, aby móc w pełni z niej korzystać.