Preferencje help
Widoczny [Schowaj] Abstrakt
Liczba wyników

Znaleziono wyników: 1

Liczba wyników na stronie
first rewind previous Strona / 1 next fast forward last
Wyniki wyszukiwania
help Sortuj według:

help Ogranicz wyniki do:
first rewind previous Strona / 1 next fast forward last
1
PL
W artykule omówiono implementację gry Pong w układzie FPGA Spartan 3 XC3S200 firmy Xilinx. W trakcie realizacji projektu zastosowano obsługę standardów transmisji danych PS/2, co umożliwiło sterowanie grą za pomocą klawiatury komputerowej. Dzięki zastosowaniu standardu VGA cała rozgrywka wyświetlana jest na standardowym monitorze lub rzutniku multimedialnym. Powyższe zadanie zrealizowano, stosując język opisu sprzętu VHDL.
EN
The main objective in making this project was creating a functional game based on FPGA module, controlled by convenient controller and displayed on popular type of video-output device. The configured FPGA module allows playing simple popular retro game - Pong. It is displayed on VGA-compatible device (a lot of popular PC-displays, projectors) and controlled by standard PS/2 compatible PC keyboard or PCB mounted pushbuttons. The biggest problems in creating our project was generating correct video-output signals, capturing events sent by keyboard and synchronizing control signals with their actions. Parallel computing made all of that possible, working simultaneously without any problems. Game "engine" is really simple and it did not took a lot of lines of code in VHDL to implement it. It actually works just by setting appropriate values to coordinates of game elements (ball and platforms). Game is made for two players. The whole project was made in ISE Design Suite software provided by Xilinx.
first rewind previous Strona / 1 next fast forward last
JavaScript jest wyłączony w Twojej przeglądarce internetowej. Włącz go, a następnie odśwież stronę, aby móc w pełni z niej korzystać.