PL EN


Preferencje help
Widoczny [Schowaj] Abstrakt
Liczba wyników
Tytuł artykułu

Automated software-based in-field self-test program synthesis

Treść / Zawartość
Identyfikatory
Warianty tytułu
Języki publikacji
EN
Abstrakty
EN
This paper presents a methodology to automate functional Software-Based Self-Test program development. We rely on the previously published research on modeling processors using subclass of acyclic directed graphs called High-Level Decision Diagrams (HLDD). The HLDD model of the processor gets generated from its Instruction Set Architecture. The HLDD model is then used together with beforehand prepared assembly program templates in the generation of the complete self-test program. The research presented in this paper includes examples of test generation for the 32-bit SPARCv8 microprocessor Leon 3. The experimental results demonstrate that automatically generated SBST program obtains comparable to the state-of the art fault coverage data.
Twórcy
autor
  • Department of Computer Engineering, Tallinn University of Technology, Tallinn, Estonia
autor
  • Department of Computer Engineering, Tallinn University of Technology, Tallinn, Estonia
autor
  • Department of Computer Engineering, Tallinn University of Technology, Tallinn, Estonia
Bibliografia
  • [1] M. Bushnell and V. Agrawal, Essentials of Electronic Testing for Digital, Memory and Mixed-Signal VLSI Circuits. Springer Publishing Company, Incorporated, 2013.
  • [2] E. B. Eichelberger and T. W. Williams, “A logic design structure for lsi testability,” in Papers on Twenty-five Years of Electronic Design Automation, ser. 25 years of DAC. New York, NY, USA: ACM, 1988, pp. 358–364. [Online]. Available: http://doi.acm.org/10.1145/62882.62924
  • [3] E. B. Eichelberger and T.W.Williams, “A logic design structure for LSI testability,” in Proc. of the DAC, 1977, pp. 462 – 468.
  • [4] M. Psarakis, D. Gizopoulos, E. Sanchez, and M. S. Reorda, “Microprocessor software-based self-testing,” IEEE Design Test of Computers, vol. 27, no. 3, pp. 4–19, May 2010.
  • [5] I. Bayraktaroglu, J. Hunt, and D. Watkins, “Cache resident functional microprocessor testing: Avoiding high speed io issues,” in 2006 IEEE International Test Conference, Oct 2006, pp. 1–7.
  • [6] P. Bernardi, R. Cantoro, L. Ciganda, E. Sanchez, M. S. Reorda, S. D. Luca, R. Meregalli, and A. Sansonetti, “On the in-field functional testing of decode units in pipelined risc processors,” in 2014 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT), Oct 2014, pp. 299–304.
  • [7] A. Riefert, R. Cantoro, M. Sauer, M. S. Reorda, and B. Becker, “A flexible framework for the automatic generation of sbst programs,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 24, no. 10, pp. 3055–3066, Oct 2016.
  • [8] A. Riefert, L. Ciganda, M. Sauer, P. Bernardi, M. S. Reorda, and B. Becker, “An effective approach to automatic functional processor test generation for small-delay faults,” in 2014 Design, Automation Test in Europe Conference Exhibition (DATE), March 2014, pp. 1–6.
  • [9] M. Schlzel, T. Koal, S. Rder, and H. T. Vierhaus, “Towards an automatic generation of diagnostic in-field sbst for processor components,” in 2013 14th Latin American Test Workshop - LATW, April 2013, pp. 1–6.
  • [10] S. Gurumurthy, S. Vasudevan, and J. A. Abraham, “Automatic generation of instruction sequences targeting hard-to-detect structural faults in a processor,” in 2006 IEEE International Test Conference, Oct 2006, pp. 1–9.
  • [11] L. Lingappan and N. K. Jha, “Satisfiability-based automatic test program generation and design for testability for microprocessors,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 15, no. 5, pp. 518–530, May 2007.
  • [12] S. Gurumurthy, S. Vasudevan, and J. A. Abraham, “Automatic generation of instruction sequences targeting hard-to-detect structural faults in a processor,” in 2006 IEEE International Test Conference, Oct 2006, pp. 1–9.
  • [13] C. H. P. Wen, L.-C. Wang, and K.-T. Cheng, “Simulation-based functional test generation for embedded processors,” IEEE Transactions on Computers, vol. 55, no. 11, pp. 1335–1343, Nov 2006.
  • [14] N. Kranitis, A. Paschalis, D. Gizopoulos, and G. Xenoulis, “Softwarebased self-testing of embedded processors,” IEEE Transactions on Computers, vol. 54, no. 4, pp. 461–475, April 2005.
  • [15] C. H. Chen, C. K. Wei, T. H. Lu, and H. W. Gao, “Software-based selftesting with multiple-level abstractions for soft processor cores,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 15, no. 5, pp. 505–517, May 2007.
  • [16] J. Shen and J. A. Abraham, “Native mode functional test generation for processors with applications to self test and design validation,” in Proceedings International Test Conference 1998 (IEEE Cat. No.98CH36270), Oct 1998, pp. 990–999.
  • [17] P. Parvathala, K. Maneparambil, and W. Lindsay, “Frits - a microprocessor functional bist method,” in Proceedings. International Test Conference, 2002, pp. 590–598.
  • [18] F. Corno, E. Sanchez, M. S. Reorda, and G. Squillero, “Automatic test program generation: a case study,” IEEE Design Test of Computers, vol. 21, no. 2, pp. 102–109, Mar 2004.
  • [19] E. Sanchez and M. S. Reorda, “On the functional test of branch prediction units,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 23, no. 9, pp. 1675–1688, Sept 2015.
  • [20] S. D. Carlo, P. Prinetto, and A. Savino, “Software-based self-test of setassociative cache memories,” IEEE Transactions on Computers, vol. 60, no. 7, pp. 1030–1044, July 2011.
  • [21] D. Gizopoulos, M. Psarakis, M. Hatzimihail, M. Maniatakos, A. Paschalis, A. Raghunathan, and S. Ravi, “Systematic software-based self-test for pipelined processors,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 16, no. 11, pp. 1441–1453, Nov 2008.
  • [22] P. Bernardi, R. Cantoro, L. Ciganda, B. Du, E. Sanchez, M. S. Reorda, M. Grosso, and O. Ballan, “On the functional test of the register forwarding and pipeline interlocking unit in pipelined processors,” in 2013 14th International Workshop on Microprocessor Test and Verification, Dec 2013, pp. 52–57.
  • [23] R. Ubar, A. Tsertov, A. Jasnetski, and M. Brik, “Software-based selftest generation for microprocessors with high-level decision diagrams,” in Proc. of the Latin-American Test Workshop, 2014, pp. 1–6.
  • [24] A. Jasnetski, J. Raik, A. Tsertov, and R. Ubar, “New fault models and self-test generation for microprocessors using high-level decision diagrams,” in Proc. of the International Symposium on Design and Diagnostics of Electronic Circuits Systems, 2015, pp. 251–254.
  • [25] Z.Navabi, Analysis and Modeling of Digital Systems. McGraw-Hill, 1993.
  • [26] [Online]. Available: http://mesdat.ucsd.edu/ lichen/260c/parwan/
  • [27] R.Ubar, J.Raik, A.Karputkin, and M.Tombak, “Synthesis of high-level decision diagrams for functional test pattern generation,” in Proc. of 16th Int. Conference MIXDES, Lodz, Poland, Jun. 2009, pp. 519–524.
  • [28] S. Baranov, Logic and System Design of Digital Systems. Tallinn, Estonia, year =: TUT Press.
  • [29] B. R. Drechsler, Binary Decision Diagrams. Boston, MA, USA: Kluwer Academic Publishers, Boston, 1998.
  • [30] S. international Inc. The sparc architecture manual, version 8. [Online]. Available: http://www.gaisler.com/doc/sparcv8.pdf
  • [31] E. J. McCluskey, “Verification testinga pseudoexhaustive test technique,” vol. Vol. C-33, pp. 541 – 546, 07 1984.
  • [32] A. S. Oyeniran, A. Jasnetski, A. Tsertov, and R. Ubar, “High-level test data generation for software-based self-test in microprocessors,” in 2017 6th Mediterranean Conference on Embedded Computing (MECO), June 2017, pp. 1–6.
  • [33] R. Ubar, “Test synthesis with alternative graphs,” in IEEE Design and Test of Computers, 1996, pp. 48 – 59.
  • [34] S. M. Thatte and J. A. Abraham, “Test generation for microprocessors,” vol. C-29, no. 6, June 1980, pp. 429–441.
  • [35] D. Brahme and J. A. Abraham, “Functional testing of microprocessors,” IEEE Transactions on Computers, vol. C-33, no. 6, pp. 475–485, June 1984.
  • [36] R. D. Blanton and J. P. Hayes, “Properties of the input pattern fault model,” in Proceedings International Conference on Computer Design VLSI in Computers and Processors, Oct 1997, pp. 372–380.
  • [37] S. Holst and H. J. Wunderlich, “Adaptive debug and diagnosis without fault dictionaries,” in 2008 13th European Test Symposium, May 2008, pp. 199–204.
  • [38] F. Hapke, W. Redemund, A. Glowatz, J. Rajski, M. Reese, M. Hustava, M. Keim, J. Schloeffel, and A. Fast, “Cell-aware test,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 33, no. 9, pp. 1396–1409, Sept 2014.
  • [39] R.Ubar, J.Raik, and H.-T.Vierhaus, Design and Test Technology for Dependable Systems-on-Chip. IGI Global, 2011.
  • [40] [Online]. Available: http://www.synopsys.com/Tools/Implementation/RTLSynthesis/Test/Pages/TetraMAXATPG.aspx
  • [41] [Online]. Available: http://www.gaisler.com/products/grlib/grlib-gpl-1.4.1-b4156.tar.gz
  • [42] L. Chen and S. Dey, “Software-based self-testing methodology for processor cores,” in IEEE Trans. on CAD of IC and Systems, vol. 20, no. 3, March 2001, pp. 369 – 380.
  • [43] Y. Zhang, H. Li, and X. Li, “Software-based self-testing of processors using expanded instructions,” in Proc. of 19th IEEE Asian Test Symposium, 2010, pp. 415 – 420.
Uwagi
Opracowanie rekordu w ramach umowy 509/P-DUN/2018 ze środków MNiSW przeznaczonych na działalność upowszechniającą naukę (2018).
Typ dokumentu
Bibliografia
Identyfikator YADDA
bwmeta1.element.baztech-ca12d4f1-aafe-4f40-8953-1d0fd267f70f
JavaScript jest wyłączony w Twojej przeglądarce internetowej. Włącz go, a następnie odśwież stronę, aby móc w pełni z niej korzystać.