PL EN


Preferencje help
Widoczny [Schowaj] Abstrakt
Liczba wyników
Tytuł artykułu

Analiza topografii układów scalonych VLSI pod kątem ich produkowalności

Identyfikatory
Warianty tytułu
EN
Topography analysis of VLSI integrated circuits for manufacturability
Języki publikacji
PL
Abstrakty
PL
W pracy poruszono szereg problemów dotyczących metod analizy topografii układów scalonych wielkiej skali integracji, stosowanych podczas projektowania pod kątem produkowalności (DFM). Położono szczególny nacisk na omówienie znaczenia i sposobów wykorzystania pojęcia obszarów krytycznych topografii masek, jako miary czułości układu scalonego na defekty produkcyjne. Przedstawiono nowy model uzysku produkcyjnego dla układów VLSI. W modelu tym zostały uwzględnione zjawiska nadtrawienia i niedotrawienia warstw, występujące w operacjach litograficznych. Wykazano, że zaniedbanie losowej natury rozrzutów procesu trawienia może prowadzić do powstania znaczącego błędu przy szacowaniu uzysku produkcyjnego. Zaproponowano również metodę szacowania uzysku produkcyjnego dla skalowanych topografii układów scalonych VLSI. Metoda ta umożliwia optymalne skalowanie projektów układów scalonych i pozwala na znaczne zredukowanie czasochłonnych obliczeniowo ekstrakcji funkcji obszarów krytycznych dla przeskalowanych topografii. Ze względu na znaczenie analizy obszarów krytycznych dla metod DFM, w pracy zaprezentowano oryginalny algorytm ekstrakcji obszarów krytycznych na rozwarcia w układach scalonych. W algorytmie tym zostały uwzględnione obszary krytyczne zarówno dla ścieżek przewodzących, jak i dla kontaktów elektrycznych do pozostałych warstw przewodzących. Wprowadzono i omówiono znaczenie nowej koncepcji rejonów kontaktowych dla kontaktów typu Contact Cut i Via. Zaproponowany algorytm umożliwia analizę dużych układów scalonych, w tym układów o topografii różnej od geometrii typu Manhattan. Zademonstrowany przykład analizy rzeczywistej topografii dużego układu scalonego pokazuje, że ekstrakcja obszarów krytycznych dla rozwarć może być wykonana dla przemysłowych układów VLSI w akceptowalnym czasie. Obszerna część pracy została poświęcona zagadnieniu jakości testowania układów scalonych w kontekście metod generacji wektorów testowych, uwzględniających projekt topografii, jak i statystykę występowania defektów produkcyjnych. Przedstawiono metodę charakteryzacji kombinacyjnych komórek standardowych i bloków funkcjonalnych CMOS dla testowania napięciowego i prądowego iddq, opartego na fizycznym modelu uszkodzeń. Zaproponowana metoda umożliwia znalezienie rodzajów defektów, które mogą wystapić w rzeczywistym układzie scalonym, określenie prawdopodobieństwa ich wystąpienia oraz znalezienie wektorów testowych wykrywających te uszkodzenia.
EN
The work raised a number of problems relating to methods of topography analysis of very large scale integrated circuits (IC) used during designing for manufacturability (DFM). Particular emphasis was placed on discussion of the importance and usage of critical areas concept, as a measure of IC layout sensitivity to manufacturing defects. A new manufacturing yield model for VLSI circuits was presented. In this model the phenomenon of over and under etching of the conducting layers, occurring in lithography operations, was taken into account. It has been shown that neglecting the random nature of etching variations can lead to substantial error in yield estimation. The yield estimation approach to layout scaling of VLSI integrated circuit has been proposed as well. This method enables optimal downscaling of the IC design. It also allows to significantly reduce time-consuming extraction of the critical area functions for scaled layouts. Because of the importance of critical areas analysis for DFM methods, the work presents an original algorithm for the extraction of critical areas for opens in integrated circuits. In this algorithm critical areas both for conducting paths and for electrical contacts to the other conducting layers have been considered. The new concept of the Contact/Via contacting regions has been introduced and its relevance has been discussed. The proposed algorithm allows analysis of large ICs and ICs with non-Manhattan geometry. The demonstrated example of realistic layout analysis of a large integrated circuit shows that the extraction of critical areas for opens can be done for industrial VLSI circuits within an acceptable time. An extensive part of the work has been devoted to the issue of integrated circuits testing quality in the context of test vector generation methods taking into account the layout design, as well as manufacturing defect statistics. A characterization methodology of CMOS combinational standard cells and functional blocks for defect based testing and iddq testing has been presented. The proposed methodology allows to find the types of faults that may occur in a real IC, to determine their probabilities, and to find the input test vectors that detect these faults.
Rocznik
Tom
Strony
3--119
Opis fizyczny
Bibliogr. 154 poz., tab., rys., wykr.
Twórcy
  • Instytut Mikroelektroniki i Optoelektroniki, Politechnika Warszawska
Bibliografia
  • [1] D.M. Anderson et al., Design for manufacturability & concurrent engineering: How to design for low cost, design in high quality, design for lean manufacture, and design quickly for fast production, CIM Press, June 2006.
  • [2] A.R. Venkatachalam, Design for Manufacturability: a survival strategy for the American manufacturing industry, Industrial Management, May 1992. (URL: http://www.allbusiness.com/industrial-management/19920501/2999905-1.html)
  • [3] Argi Management Consultants Sdn. Bhd, What is design for manufacturability? URL: http://w ww2.argi.com.my/index.php?q=node/11
  • [4] W. Maly, Computer-aided design for VLSI circuit manufacturability, Proceedings of the IEEE, vol. 78, no. 2, pp. 356-392, February 1990.
  • [5] H.T. Heineken, W. Maly, Manufacturability analysis environment - MAPEX, Proc. of IEEE Custom Integrated Circuits Conference - CICC-94, pp. 309-312, May 1994.
  • [6] W. Maly, Prospects for WSI: a manufacturing perspective, IEEE Computer, vol. 25, no. 4, pp. 58-65, April 1992.
  • [7] W. Maly, H. Jacobs, A. Kersch, Estimation of wafer cost for technology design, Proc. of International Electron Devices Meeting - IDEM-93, pp. 873-876, Washington, DC, USA, December 1993.
  • [8] H.T. Heineken, W. Maly, Interconnect yield model for manufacturability prediction in synthesis of standard cell based designs, Proc. of IEEE/ACM International Conference on Computer-Aided Design - ICCAD-96, pp. 368-373, San Jose, USA, November 1996.
  • [9] N. Dragone, C. Guardiani, A.J. Strojwas, Design for manufacturability in the nanometer era, In: EDA for IC Implementation, Circuit Design, and Process Technology - Volume 2, edited by L. Scheffer, L. Lavagno and G. Martin, CRC Press, 2006.
  • [10] M. Orshansky, S.R. Nassif, D. Boning, Design for manufacturability and statistical design: a constructive approach, Springer-Verlag, New York Inc., February 2008.
  • [11] A. Pfitzner, Modelowanie elementów półprzewodnikowych dla statystycznej symulacji układów scalonych VLSI, Prace Naukowe Politechniki Warszawskiej, Elektronika, z. 120, 1999.
  • [12] Performing Multi-Mode Multi-Corner Timing Analysis and Optimization, Encounter User Guide, product version 7.1.3, San Jose, CA, USA, Cadence Design Systems Inc., 2008.
  • [13] W. Maly, The future of IC design, testing and manufacturability, IEEE Design and Test of Computers, vol. 13, no. 4, pp. 8, 88-91, Winter 1996.
  • [14] W. Maly, H.T. Heineken, J. Khare, P.K. Nag, Design for manufacturability in submicron domain, Proc. of IEEE/ACM International Conference on Computer-Aided Design - ICCAD-96, pp. 690-697, San Jose, USA, November 1996.
  • [15] F. Lee, A. Ikeuchi, Y. Tsukiboshi, T. Ban, Critical area optimizations improve IC yields, EE Times, September 2006. (URL: http://www.eetimes.com/news/design/showArticle.jhtml?articleID=l75802288)
  • [16] D.N. Maynard, R.J. Rosner, J.D. Hibbeler, J.A. Culp, T.S. Barnett, High-value design techniques for mitigating random defect sensitivities, IEEE Transactions on Semiconductor Manufacturing, vol. 21, no. 3, pp. 329-336, August 2008.
  • [17] Y. Tsunoda, K. Kanamitsu, Y. Iwata, C. Matsumoto, K. Kamoda, Y. Hamamura, F. Kojika, Integrated yield management system using critical area analysis, Proc. of IEEE International Symposium on Semiconductor Manufacturing, pp. 233-236, San Jose, California, USA, September 2005.
  • [18] T.S. Barnett, J.P. Bickford, A.J. Weger, Product yield prediction system and critical area database, IEEE Transactions on Semiconductor Manufacturing, vol. 21, no. 3, pp. 337-341, August 2008.
  • [19] I. Bubel, W. Maly, T. Waas, P.K. Nag, H. Hartmann, D. Schmitt-Landsiedel, S. Griep, AFFCCA: a tool for critical area analysis with circular defects and lithography deformed layout, Proc. of IEEE International Workshop on Defect and Fault Tolerance in VLSI Systems, pp. 19-27, Lafayette, USA, November 1995.
  • [20] R.K. Nurani, A.J. Strojwas, W. Maly, C.H. Ouyang, W. Shindo, R. Akella, M. McIntyre, J. Derrett, In-line yield prediction methodologies using patterned wafer inspection information, Proc. of IEEE International Symposium on Semiconductor Manufacturing, pp. 243-248, Tokyo, Japan, October 1996.
  • [21] S.W. Jones, Introduction to integrated circuit technology, ICKnowledge LLC, USA, Nov. 2005. (URL: http://www.icknowledge.com/misc_technology/IntroToICTechRev4.pdf)
  • [22] Nippon Muki CO., Air cleaning products, URL: http://www.nipponmuki.co.jp/e/jigyou/air/01.html
  • [23] W. Maly, F.J. Ferguson, J.P. Shen, Systematic characterization of physical defects for fault analysis of MOS IC Cells, Proc. of International Test Conference, pp. 390-399, Philadelphia, USA, October 1984.
  • [24] W. Maly, Modeling of point defect related yield losses for CAD of VLSI circuits, Proc. of IEEE/ACM International Conference on Computer-Aided Design - ICCAD-84, pp. 161-163, Santa Clara, USA, November 1984.
  • [25] S. Wolf, R.N. Tauber, Silicon processing for the VLSI era, Sunset Beach, CA: Lattice Press, June 1987.
  • [26] M. Syrzycki, Modeling of spot defects in MOS transistors, Proc. of International Test Conference, pp. 148-157, Washington, DC, USA, September 1987.
  • [27] M. Syrzycki, Modeling of gate oxide shorts in MOS transistors, IEEE Transactions on Computer-Aided Design, vol. 8, no. 3, pp. 193-202, March 1989.
  • [28] C. Hess, L.H. Weiland, Determination of defect size distributions based on electrical measurements at a novel harp test structure, Proc. of IEEE International Conference on Microelectronic Test Structures - ICMTS 1997, pp. 1-6, Monterey, CA, USA, March 1997.
  • [29] C. Hess, L.H. Weiland, Harp test structure to electrically determine size distributions of killer defects, IEEE Transactions on Semiconductor Manufacturing, vol. 11, no. 2, pp. 194-203, May 1998.
  • [30] X. Li, A.J. Strojwas, M. Reddy, L.S. Milor, Efficient macromodeling of defect propagation/growth mechanisms in VLSI fabrication, IEEE Transactions on Semiconductor Manufacturing, vol. 11, no. 4, pp. 537-545, November 1998.
  • [31] C. Hess, D. Stashower, B.E. Stine, L.H. Weiland, G. Verma, K. Miyamoto, K. Inoue, Fast extraction of defect size distribution using a single layer short flow NEST structure, IEEE Transactions on Semiconductor Manufacturing, vol. 14, no. 4, pp. 330-337, November 2001.
  • [32] H. Nagaishi, M. Fukui, H. Asakura, A. Sugimoto, Defect reduction in Cu dual damascene process using short-loop test structures, IEEE Transactions on Semiconductor Manufacturing, vol. 16, no. 3, pp. 446-451, August 2003.
  • [33] Y. Hamamura, T. Kumazawa, K. Tsunokuni, A. Sugimoto, H. Asakura, An advanced defect-monitoring test structure for electrical screening and defect localization, IEEE Transactions on Semiconductor Manufacturing, vol. 17, no. 2, pp. 104-110, May 2004.
  • [34] L.S. Milor, Yield modeling based on in-line scanner defect sizing and a circuit's critical area, IEEE Transactions on Semiconductor Manufacturing, vol. 12, no. 1, pp. 26-35, February 1999.
  • [35] D.K. de Vries, Methods to quantify the detection probability of killing defects, IEEE Transactions on Semiconductor Manufacturing, vol. 18, no. 3, pp. 406-411, August 2005.
  • [36] M. Osborne, New Product: KLA-Tencor's Viper upgrade provides wider defect range & faster throughput, Fabtech, February 2006. (URL: http://www.fabtech.org/lib/printable/1524/)
  • [37] C.W. Long, T. Sienkiewicz, G. Pfeiffer, M. Guse, J. Peterman, A. Brendler, A 300-mm semiconductor manufacturing foreign material reduction initiative, IEEE Transactions on Semiconductor Manufacturing, vol. 21, no. 3, pp. 308-315, August 2008.
  • [38] W. Maly, J. Deszczka, Yield estimation model for VLSI artwork evaluation, Electronics Letters, vol. 19, no. 6, pp. 226-227, March 1983.
  • [39] W. Maly, Modeling of lithography related yield losses for CAD of VLSI circuits, IEEE Trans. Computer-Aided Design, vol. CAD-4, no. 3, pp. 166-177, July 1985.
  • [40] C.H. Stapper, Modeling of integrated circuit defect sensitivities, IBM J. Res. Develop., vol. 27, no. 6, pp. 549-557, November 1983.
  • [41] C.H. Stapper, Modeling of defects in integrated circuit photholitographic patterns, IBM J. Res. Develop., vol. 28, no. 4, pp. 461-475, July 1984.
  • [42] A.V. Ferris-Prabhu, Modeling the critical area in yield forecasts, IEEE J. Solid-State Circuits, vol. SC-20, no. 4, pp. 874-878, August 1985.
  • [43] S. Gandemer, B.C. Tremintin, J.J. Chariot, Critical area and critical levels calculation in I.C. yield modeling, IEEE Trans. Electron Devices, vol. 35, no. 2, pp. 158-166, February 1988.
  • [44] C. Kooperberg, Circuit layout and yield, IEEE J. Solid-State Circuits, vol. 23, no. 4, pp. 887-892, August 1988.
  • [45] Z. Stamenkovic, S. Dimitrijev, N. Stojadinovic, Calculation of chip critical area for yield modeling, Proc. of the 18th Yugoslav Conference on Microelectronics - MIEL-90, pp. 133-136, Ljubljana, Yugoslavia, May 1990.
  • [46] W. Maly, A.E. Gattiker, T. Zanon, T. Vogels, R.D. Blanton, T. Storey, Deformations of IC structure in test and yield learning, Proc. of International Test Conference, pp. 856-865, Charlotte, NC, USA, September 30-October 2, 2003.
  • [47] T. Zanon, W. Maly, Classification of IC process deformation characteristics using memory fail bitmaps, Proc. International Symposium for Testing and Failure Analysis - ISTFA 2004, pp. 566-575, November 2004.
  • [48] W. Jońca, Modelowanie defektów o dowolnym kształcie występujących w połączeniach w głęboko submikrometrowych układach scalonych, rozprawa doktorska, Politechnika Warszawska, Warszawa 2007.
  • [49] P. Simon, W. Maly, D.K. de Vris Brules, Design dependency of yield loss due to tungsten residues in spin on glass based planarization processes, Proc. of IEEE International Symposium on Semiconductor Manufacturing, pp. 87-90, San Francisco, CA, USA, October 1997.
  • [50] T. Vogels, T. Zanon, R. Desineni, R.D. Blanton, W. Maly, J.G. Brown, J.E. Nelson, Y. Fei, X. Huang, P. Gopalakrishnan, M. Mishra, V. Rovner, S. Tiwary, Benchmarking diagnosis algorithms with a diverse set of IC deformations, Proc. of International Test Conference, pp. 508-517, Charlotte, NC, USA, October 2004.
  • [51] T. Zanon, M. Ferdman, K. Komeyli, W. Maly, Analysis of IC manufacturing process deformations: an automated approach using SRAM bit fail maps, Proc. International Symposium for Testing and Failure Analysis - ISTFA 2003, pp. 232-241, November 2003.
  • [52] W.A. Pleskacz, Analiza wpływu zaburzeń topografii układu scalonego VLSI na jego działanie i na uzysk produkcyjny, rozprawa doktorska, Politechnika Warszawska, październik 1994.
  • [53] W.A. Pleskacz, W. Kuźmicz: Estimation of the IC layout sensitivity to spot defects, Electron Technology, 1999, vol. 32, no. 1/2, pp. 182-190.
  • [54] B.A. McCoy, G. Robins, Non-tree routing, Proceedings of the European Design and Test Conference - EDAC-94, pp. 430-434, Paris, France, March 1994.
  • [55] A.B. Kahng, B. Liu, I.I. Mandoiu, Nontree routing for reliability and yield improvement, IEEE Transactions on Computer-Aided Design, vol. 23, no. 1, pp. 148-156, January 2004.
  • [56] D.M.H. Walker, S.W. Director, VLASIC: A catastrophic fault yield simulator for integrated circuits, IEEE Transactions on Computer-Aided Design, vol. 5, no. 4, pp. 541-556, October 1986.
  • [57] I. Chen, A.J. Strojwas, Realistic yield simulation for VLSIC structural failures, IEEE Trans. Computer-Aided Design, vol. CAD-6, no. 6, pp. 965-980, January 1987.
  • [58] J. Pineda de Gyvez, J.A.G. Jess, A layout defect-sensitivity extractor, Proc. of IEEE/ACM International Conference on Computer-Aided Design - ICCAD-89, pp. 538-541, Santa Clara, USA, November 1989.
  • [59] J.B. Khare, D. Feltham, W. Maly, Accurate estimation of defect-related yield loss in reconfigurable VLSI circuits, IEEE Journal of Solid-State Circuits, vol. 28, no. 2, pp. 146-156, February 1993.
  • [60] G.A. Allan, Yield prediction by sampling IC layout, IEEE Transactions on Computer-Aided Design, vol. 19, no. 3, pp. 359-371, March 2000.
  • [61] E. Papadopoulou, D.T. Lee, Critical area computation via Voronoi diagrams, IEEE Trans. Computer-Aided Design, vol. 18, no. 4, pp. 463-474, April 1999.
  • [62] E. Papadopoulou, Critical area computation for missing material defects in VLSI circuits, IEEE Trans. Computer-Aided Design, vol. 20, no. 5, pp. 583-597, May 2001.
  • [63] R.L. Scheaffer, W. Mendenhall, R.L. Ott, Elementary survey sampling, Pacific Grove, California: Duxbury Press, 2005.
  • [64] G.A. Allan, A.J. Walton, Yield prediction by sampling with the EYES tool, Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems - DFT'96, pp. 39-47, Boston, USA, November 1996.
  • [65] S. Levasseur, F. Duvivier, Application of a yield model merging critical areas and defectivity to industrial products, Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems - DFT'97, pp. 11-19, Paris, France, October 1997.
  • [66] C. Ouyang, W. Maly, Efficient extraction of critical area in large VLSI ICs, IEEE Proc. of International Symposium on Semiconductor Manufacturing, pp. 301-304, Tokyo, Japan, September 1996.
  • [67] R.M. Warner, Jr., Applying a composite model to the IC yield problem, IEEE J. Solid-State Circuits, vol. SC-9, no. 3, pp. 86-95, June 1974.
  • [68] C.H. Stapper, P.M. Armstrong, K. Saji, Integrated circuit yield statistics, Proceedings of the IEEE, vol. 71, no. 4, pp. 453-470, April 1983.
  • [69] S. Dimitrijev, N. Stojadinowic, Yield modeling in integrated circuit production control: A critical review, II Sympozjum Diagnostyka a Uzysk w Produkcji Układów Scalonych, s. 16-23, Rozalin, Polska, październik 1989.
  • [70] A.V. Ferris-Prabhu, Introduction to semiconductor device yield modeling, Boston and London: Artech House, 1992.
  • [71] A. Papoulis, Probability, random variables, and stochastic processes, New York: McGraw-Hill, 1965.
  • [72] A. Papoulis, Prawdopodobieństwo, zmienne losowe i procesy stochastyczne, Wydawnictwa Naukowo-Techniczne, Warszawa 1972.
  • [73] N.W. Smirnow, I.W. Dunin-Barkowski, Kurs rachunku prawdopodobieństwa i statystyki matematycznej dla zastosowań technicznych, Państwowe Wydawnictwo Naukowe, Warszawa 1973.
  • [74] J.L. Devore, Probability and statistics for engineering and the sciences, Monterey, California: Brooks/Cole Publishing Company, 1982.
  • [75] M.H. DeGroot, Probability and statistics. Reading, Massachusetts/Menlo Park, California: Addison-Wesley Publishing Company, 1986.
  • [76] A.V. Ferris-Prabhu, Defect size variations and their effect on the critical area of VLSI devices, IEEE J. Solid-State Circuits, vol. SC-20, no. 4, pp. 878-880, August 1985.
  • [77] Z. Stamenkovic, N. Stojadinowic, Comparison of defect size distribution functions for integrated circuit yield modeling, Proc. of the 19th Yugoslav Conference on Microelectronics - MIEL-91, pp. 541-546, Beograd, Yugoslavia, May 1991.
  • [78] P. Simon, Yield modeling for deep sub-micron IC design, Nijmegen, The Netherlands: Arts & Boeve Publishers, 2001.
  • [79] M. Rencher et al., What's yield got to do with IC design? EE Times Network, 2004. (URL: http://i.cmpnet.com/eedesign/2003/inside_eedesign7.pdf)
  • [80] N. Harrison, A simple via duplication tool for yield enhancement, Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems - DFT'01, pp. 39-47, San Francisco, USA, October 2001.
  • [81] J. Pineda de Gyvez, C. Di, IC defect sensitivity for footprint-type spot defects, IEEE Trans. Computer-Aided Design, vol. 11, no. 5, pp. 638-658, May 1992.
  • [82] G.A. Allan, A.J. Walton, Hierarchical critical area extraction with the EYE tool, Proc. of IEEE International Workshop on Defect and Fault Tolerance in VLSI Systems, pp. 28-36, Lafayette, USA, November 1995.
  • [83] I.A. Wagner, I. Koren, An interactive VLSI CAD tool for yield estimation, IEEE Transactions on Semiconductor Manufacturing, vol. 8, no. 2, pp. 130-138, May 1995.
  • [84] W.A. Pleskacz, W.B. Kuźmicz, SENSAT - a practical tool for estimation of the IC layout sensitivity to spot defects, The European Design and Test Conference EDAC-95, Paris, France, March 1995.
  • [85] W. Maly, M.E. Thomas, J.D. Chinn, D.M. Campbell, Measurements of type, size and density of spot defects, In: Design for Yield edited by W.R. Moore, W. Maly and A.J. Strojwas, Bristol and Boston: Adam Hilger, 1988.
  • [86] J.B. Khare, W. Maly, S. Griep, D. Schmitt-Landsiedel, Yield-oriented computer-aided defect diagnosis, IEEE Transactions on Semiconductor Manufacturing, vol. 8, no. 2, pp. 195-206, May 1995.
  • [87] P.K. Nag, W. Maly, Hierarchical extraction of critical area for shorts in very large ICs, Proc. of IEEE International Workshop on Defect and Fault Tolerance in VLSI Systems, pp. 10-18, Lafayette, USA, November 1995.
  • [88] W.A. Pleskacz, C. Ouyang, W. Maly, A DRC based algorithm for extraction of critical areas for opens in large VLSI circuits, IEEE Trans. Computer-Aided Design, vol. 18, no. 2, pp. 151-162, February 1999.
  • [89] F. Preparata, M. Shamos, Computational geometry - an introduction. New York: Springer-Verlag, 1985, pp. 345-350.
  • [90] U. Lauther, An O(N log N) algorithm for boolean mask operations, Proc. of 18th Design Automation Conference, pp. 555-562, USA, 1981.
  • [91] W. Maly, M. Patyra, A. Primatic, V. Raghavan, T. Storey, A. Wolfe, Memory chip for 24-port global register file, Proc. of IEEE Custom Integrated Circuits Conference, San Diego, May 1991.
  • [92] H.T. Heineken, J. Khare, W. Maly, P.K. Nag, C. Ouyang, W.A. Pleskacz, CAD at the design-manufacturing interface, Proceedings of 34th Design Automation Conference - DAC'97, pp. 321-326, Anaheim, USA, June 1997.
  • [93] Cadence Opus Integrated Design Environment, Reference manual set. San Jose, CA, USA: Cadence Design Systems Inc., 1994.
  • [94] R. Sitte, S. Dimitrijev, H.B. Harrison, Device parameter changes caused by manufacturing fluctuations of deep submicron MOSFET's, IEEE Trans. Electron Devices, vol. 41, no. 11, pp. 2210-2215, November 1994.
  • [95] J. von Neumann, Various technique used in connection with random digits, Nat. Bur. Stand. Appl. Math., ser. 12, pp. 36-38, 1951.
  • [96] R. Zieliński, Generatory liczb losowych, Programowanie i testowanie na maszynach cyfrowych, Wydawnictwa Naukowo-Techniczne, Warszawa 1979.
  • [97] S. Wolfram, The Mathematica Book. Champaign, Illinois: Wolfram Media, Inc., 1996.
  • [98] W. Kuźmicz, Introduction to design for manufacturability: random variations, defects, chip size, yield and cost, Proceedings of the Advanced Training Course: Mixed Design of VLSI Circuits - MIXDES'94, pp. 142-147, Dębe, Poland, April 1994.
  • [99] W. Maly, Cost of silicon viewed from VLSI design perspective, IEEE Proc. 31st Design Automation Conference - DAC'94, pp. 135-142, San Diego, USA, June 1994.
  • [100] I. Koren, The effect of scaling on the yield of VLSI circuits, in Yield Modelling and Defect Tolerance in VLSI, edited by W. Moore, W. Maly and A. Strojwas, Bristol and Philadelphia, Adam Hilger, 1988.
  • [101] A.V. Ferris-Prabhu, Yield implications and scaling laws for submicrometer devices, IEEE Trans. Semiconductor Manufacturing, vol. 1, no. 2, pp. 49-61, May 1988.
  • [102] R.K. Nurani, A.J. Strojwas, W. Maly et al., In-line yield prediction methodologies using patterned wafer inspection information, IEEE Transactions on Semiconductor Manufacturing, vol. 11, no. 1, pp. 40-47, February 1998.
  • [103] Panel Session, Defect and fault tolerance in systems on silicon, The IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems - DFT'99, Albuquerque, New Mexico, USA, November 1999.
  • [104] S. Chakravarty, Defect based testing, Invited talk at the 4th Int. Workshop on IEEE Design and Diagnostics of Electronic Circuits and Systems - IEEE DDECS 2001, Györ, Hungary, April 2001.
  • [105] J.M. Soden, C.F. Hawkins, Quality testing requires quality thinking, Proc. of the Int. Test Conference - ITC-93, pp. 596, Baltimore, USA, October 1993.
  • [106] J.P. Shen, W. Maly, J. Ferguson, Inductive fault analysis of MOS ICs, IEEE Design & Test, pp. 13-26, 1985.
  • [107] P. Nigh, W. Maly, Layout-driven test generation, Proc. of the IEEE Int. Conference on Computer-Aided Design - ICCAD-89, pp. 154-157, Santa Clara, USA, November 1989.
  • [108] J. Raik, R. Ubar, J. Sudbrock, W. Kuźmicz, W. Pleskacz, Deterministic defect-oriented test generation for combinational circuits, Proceedings of the 6th IEEE Latin-American Test Workshop - LATW2005, pp. 325-330, Salvador, Bahia, Brazil, March 30 - April 2, 2005.
  • [109] J. Raik, R. Ubar, J. Sudbrock, W. Kuźmicz, W. Pleskacz, DOT: New deterministic defect-oriented ATPG tool, Proceedings of the 10th IEEE European Test Symposium - ETS'05, pp. 96-101, Tallinn, Estonia, May, 2005.
  • [110] J.G. Brown, Exploiting regularity for defect-based test, PhD thesis, Carnegie Mellon University, Pittsburgh, PA, USA, December 2008.
  • [111] M. Jacomet, W. Guggenbuhl, Layout-dependent fault analysis and test synthesis for CMOS circuits, IEEE Trans. on Computer-Aided Design, vol. 12, no. 6, pp. 888-899, 1993.
  • [112] M. Blyzniuk, W.A. Pleskacz, M. Lobur, W. Kuźmicz, Estimation of the usefulness of test vector components for detecting faults resulting from shorts in standard cells, Proc. Int. Conference: Mixed Design of Integrated Circuits and Systems - MIXDES 2000, pp. 527-532, Gdynia, Poland, June 2000.
  • [113] W. Kuźmicz, W.A. Pleskacz, J. Raik, R. Ubar, Defect-oriented fault simulation and test generation in digital circuits, Proc. of the 2001 IEEE 2nd International Symposium on Quality Electronic Design - ISQED 2001, pp. 365-371, San Jose, USA, March 2001.
  • [114] T. Cibakova, E. Gramatova, W. Kuźmicz, W. Pleskacz, J. Raik, R. Ubar, Defect-oriented library builder and hierarchical test generation, Proc. of the 4th Int. Workshop on IEEE Design and Diagnostics of Electronic Circuits and Systems - IEEE DDECS 2001, pp. 163-168, Györ, Hungary, April 2001.
  • [115] D. Kasprowicz, W.A. Pleskacz, Improvement of integrated circuit testing reliability by using the defect based approach, Microelectronics Reliability (PERGAMON - Elsevier Science), vol. 43/6, pp. 945-953, June 2003.
  • [116] W. Kuźmicz, W.A. Pleskacz, J. Raik, R. Ubar, Module level defect simulation in digital circuits, Proc. of the Estonian Academy of Science - Engineering, vol. 7/4, pp. 253-268, December 2001. (Proc. Estonian Acad. Sci. Eng., 2001, 7, 4, 253-268.)
  • [117] T. Cibakova, M. Fischerova, E. Gramatova, W. Kuźmicz, W.A. Pleskacz, J. Raik, R. Ubar, Hierarchical test generation for combinational circuits with real defects coverage, Microelectronics Reliability (PERGAMON - Elsevier Science), vol. 42/7, pp. 1141-1149, July 2002.
  • [118] M. Blyzniuk, T. Cibakova, E. Gramatova, W. Kuźmicz, M. Lobur, W.A. Pleskacz, J. Raik, R. Ubar, Hierarchical defect-oriented fault simulation for digital circuits, Proc. of IEEE European Test Workshop, pp. 69-74, Portugal, May 2000.
  • [119] M. Blyzniuk, T. Cibakova, E. Gramatova, W. Kuźmicz, M. Lobur, W.A. Pleskacz, J. Raik, R. Ubar, Defect oriented fault coverage of 100% stuck-at fault test sets, Proc. of the 7th Int. Conference: Mixed Design of Integrated Circuits and Systems - MIXDES 2000, pp. 511-516, Gdynia, Poland, June 2000.
  • [120] M. Abramovici, M.A. Breuer, A.D. Friedman, Digital systems testing & testable designs. Computer Science Press, 1995.
  • [121] H. Fujiwara, Logic testing and design for testability, The MIT Press, 1985.
  • [122] D. Al-Khalili, S. Adham, C. Rozon, M. Hossain, D. Racz, Comprehensive defect analysis and defect coverage of CMOS circuits, Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems - DFT'98, pp. 84-92, Austin, TX, USA, November 1998.
  • [123] W. Pleskacz, T. Oleszczak, D. Kasprowicz, W. Kuźmicz, Wpływ defektów produkcyjnych na błędy logiczne i testowalność cyfrowych układów CMOS, VII Konferencja Naukowa "Technologia Elektronowa" - ELTE 2000, s. 559-562, Polanica Zdrój, wrzesień 2000.
  • [124] M. Rakowski, W.A. Pleskacz, The influence of yield model parameters on probability of defect occurrence, Journal of Telecommunications and Information Technology, vol. 3/2007, pp. 101-104, October 2007.
  • [125] M. Rakowski, W.A. Pleskacz, P. Borkowski, The influence of defect distribution function parameters on test patterns generation, Proc. of the 14th International Conference: Mixed Design of Integrated Circuits and Systems - MIXDES 2007, pp. 545-550, Ciechocinek, Poland, June 2007.
  • [126] S. Chakravarty, P.J. Thadikara, Introduction to IDDQ testing, Kluwer Academic Publishers, 1997.
  • [127] M.W. Levi, CMOS is most testable, Proc. of International Test Conference - ITC-81, pp. 217-220, USA, September 1981.
  • [128] J.M. Acken, Testing for bridging faults (shorts) in CMOS circuits, Proc. of the 20th IEEE/ACM Design Automation Conference, pp. 717-718, USA, June 1983.
  • [129] J.M. Soden, C.F. Hawkins, Test considerations for gate oxide shorts in CMOS ICs, IEEE Design & Test, pp. 56-64, August 1986.
  • [130] L.K. Horning, J.M. Soden, R.R. Fritzemeier, C.F Hawkins, Measurements of quiescent power supply current for CMOS ICs in production testing, Proc. of International Test Conference - ITC-87, pp. 300-309, USA, September 1987.
  • [131] P. Nigh, W. Maly, Test generation for current testing, IEEE Design & Test, pp. 26-38, February 1990.
  • [132] K.J. Lee, M.A. Breuer, Design and test rules for CMOS circuits to facilitate IDDQ testing of bridging faults, IEEE Trans. Computer-Aided Design, vol. 11, no. 5, pp. 659-670, May 1992.
  • [133] A.E. Gattiker, W. Maly, Current signatures, Proc. of VLSI Test Symposium - VTS-96, pp. 112-117, USA, April 1996.
  • [134] R.R. Fritzemeier, J.M. Soden, R.K. Treece, C.F. Hawkins, Increased CMOS IC stuck-at fault coverage with reduced IDDQ test sets, Proc. of International Test Conference - ITC-90, pp. 427-435, USA, September 1990.
  • [135] R. Rodriguez-Montanes, J.A. Segura, V.H. Champac, J. Figueras, J.A. Rubio, Current vs. logic testing of gate oxide shorts, floating gate and bridging failures in CMOS, Proc. of International Test Conference - ITC-91, pp. 510-519, USA, October 1991.
  • [136] W. Mao, R.K. Gulati, D.K. Goel, M.D. Ciletti, QUIETEST: A quiescent current testing methodology for detecting leakage faults, Proc. of International Conference on Computer-Aided Design - ICCAD-90, pp. 280-283, USA, November 1990.
  • [137] F.J. Ferguson, T. Larrabee, Test pattern generation for realistic bridge faults in CMOS ICs, Proc. of International Test Conference - ITC-91, pp. 492-499, USA, October 1991.
  • [138] U. Mahlstedt, J. Alt, M. Heinitz, CURRENT: A test generation system for IDDQ testing, Proc. of VLSI Test Symposium - VTS-95, pp. 317-323, USA, April 1995.
  • [139] T. Lee, I.N. Hajj, E.M. Rudnick, J.H. Patel, Genetic-algorithm-based test generation for current testing of bridging faults in CMOS VLSI circuits, Proc. of VLSI Test Symposium - VTS-96, pp. 456-462, USA, April 1996.
  • [140] T.M. Storey, W. Maly, CMOS bridging fault detection, Proc. of International Test Conference - ITC-90, pp. 842-851, USA, September 1990.
  • [141] F.J. Ferguson, J.P. Shen, Extraction and simulation of realistic CMOS faults using inductive fault analysis, Proc. of International Test Conference - ITC-88, pp. 475-484, USA, 1988.
  • [142] S.W. Bollinger, S.F. Midkiff, Test generation for IDDQ testing of bridging faults in CMOS circuits, IEEE Trans. Computer-Aided Design, vol. 13, no. 11, pp. 1413-1418, November 1994.
  • [143] J. Khare, W. Maly, N. Tiday, Fault characterisation of standard cell libraries using inductive contamination analysis (ICA), Proc. of VLSI Test Symposium - VTS-96, pp. 405-413, USA, April 1996.
  • [144] E. Gramatova, A. Somorovska, J. Caspar, H. Manhaeve, Test pattern generation system for IDDQ - voltage test experiments, Proc. of IEEE European Test Workshop - ETW-98, pp. 193-194, Spain, May 1998.
  • [145] W.A. Pleskacz, D. Kasprowicz, T. Oleszczak, W. Kuźmicz, CMOS standard cells characterization for defect based testing, Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems - DFT'01, pp. 384-392, San Francisco, USA, October 2001.
  • [146] W.A. Pleskacz, W. Maly, Improved yield model for submicron domain, Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems - DFT'97, pp. 2-10, Paris, France, October 1997.
  • [147] W.A. Pleskacz, Yield estimation of VLSI circuits with downscaled layouts, Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems - DFT'99, pp. 55-60, Albuquerque, New Mexico, USA, November 1999.
  • [148] W.A. Pleskacz, T. Borejko, W. Kuźmicz: CMOS standard cells characterization for IDDQ testing, Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems - DFT'02, pp. 390-398, Vancouver, Canada, November 2002.
  • [149] M. Jenihhin, J. Raik, R. Ubar, W.A. Pleskacz, M. Rakowski, Layout to logic defect analysis for hierarchical test generation, Proceedings of the 2007 IEEE Workshop on Design and Diagnostics of Electronic Circuits and Systems - IEEE DDECS 2007, pp. 35-40, Kraków, Poland, April 2007.
  • [150] W.A. Pleskacz, M. Jenihhin, J. Raik, M. Rakowski, R. Ubar, W. Kuźmicz, Hierarchical analysis of short defects between metal lines in CMOS IC, Proceedings of the 11th EURO-MICRO Conference on Digital System Design - DSD 2008, pp. 729-734, Parma, Italy, September 2008.
  • [151] J. Sudbrock, J. Raik, R. Ubar, W. Kuźmicz, W. Pleskacz, Defect-oriented test- and layout-generation for standard-cell ASIC designs, Proceedings of the 8th EUROMICRO Conference on Digital System Design - DSD'05, pp. 79-82, Porto, Portugal, August 30 - September 3, 2005.
  • [152] A. Wielgus, W.A. Pleskacz, Characterization of CMOS sequential standard cells for defect based voltage testing, Proceedings of the IEEE East-West Design and Test Symposium - EWDTS 2008, pp. 49-54, Lviv, Ukraine, October 2008.
  • [153] Sz. Firkowicz, Statystyczne badanie wyrobów, Wydawnictwa Naukowo-Techniczne, Warszawa 1970.
  • [154] Z. Hellwig, Elementy rachunku prawdopodobieństwa i statystyki matematycznej, Państwowe Wydawnictwo Naukowe, Warszawa 1977.
Typ dokumentu
Bibliografia
Identyfikator YADDA
bwmeta1.element.baztech-article-PWA9-0042-0034
JavaScript jest wyłączony w Twojej przeglądarce internetowej. Włącz go, a następnie odśwież stronę, aby móc w pełni z niej korzystać.