PL EN


Preferencje help
Widoczny [Schowaj] Abstrakt
Liczba wyników
Tytuł artykułu

Function-driven generalizations of decision diagrams and their applications

Autorzy
Identyfikatory
Warianty tytułu
Języki publikacji
EN
Abstrakty
EN
The monograph is devoted to new generalizations of decision diagrams (DDs) that lead to more compact representations of Boolean and multiple-valued functions. The objective is a scientific contribution to the theory of decision diagrams by offering new possibilities of DD node expansions. In the monograph, we present our original concepts and compare them with those others available in the literature. Binary decision diagrams (BDDs) are the state-of the-art data structure in VLSI CAD and they are widely used in many applications, including formal verification, testing, simulation and logic synthesis of VLSI circuits (e.g. logic synthesis of FPGAs and pass-transistor circuits). They have also found applications outside VLSI CAD. Representations of functions, sets, relations, graphs and matrices using DDs are now successfully used in many areas, like combinatorial problems, artificial intelligence, learning theory, verification of communication protocols, verification of process networks, computer graphics, signal processing, symbolic analysis of large analog circuits, decodingof error-correcting codes, neural nets, reliability analysis, expert systems, etc. A major drawback of all BDD-based systems 8is that the underlying data structure is very sensitive to a chosen variable ordering and, for some functions, no efficient representation even exists, like in the case of the Boolean multiplication. This why several extentions of the basic BDD structure have been proposed and studied recently, including introducing new node expansion types. However, search for new generalisations is still going on. A very promising extension to BDDs are transformed BDDs (TBDDs). They are based on an encodingt of the inputs, while the BDD structure itself remains unchanged. It`s known that some families of Boolean functions can be represented by TBDDs of linear size with respect to the number of variables, while all BDDs for them require exponential size. In this monograph, we introduce new representation called function-driven binary decision diagrams (BDDs). Then we study fBDDs corresponding to arbitrary nonlinear transformations of BDDs and many variants of decision diagrams based on them. a formal framework for generalization of diffrent kinds of binary and multiple-valued diagrams developed up to now is also proposed. Next we present research in the direction of looking for heuristics giving chance of finding smaller DDs than the best known ones. We also provide results of experiments for approaches presented here. An extension of the well-known sifting algorithm nonlinear transformations is presented, based on the combination of three adjacent levels of the decision diagram. Finally , we address synthesis of binary and multi-valued reversible logic circuits by applying function-driven decision diagrams (fDDs) described in this monograph. A synthesis algorithm is based on a new complecit7y measure of reversible circuits depending on sizes of corresponding fDDs. Experimental results of running of the algorithm for reversible circuits with three inputs and three outputs are provided and compared with previously published. Pioneering research on universality and efficiency of reversible gates is also presented.
PL
Niniejsza rozprawa poświęcona jest nowym uogólnieniom diagramów decyzyjnych, które prowadza do zmniejszenia rozmiarów reprezentacji funkcji boolowskich i wielowartościowych w stosunku do standardowych binarnych diagramów decyzyjnych (BDD) wielowartościowych diagramów decyzyjnych (MDD). Jej celem jest opracowanie teorii i zastosowań diagramów decyzyjnych poprzez zaproponowanie nowych możliwości dekompozycji węzłów w tych diagramach. Przedstawione koncepcje są oryginalnym wkładem autora. W pracy zostały one porównane z koncepcjami znanymi w literaturze. Binarne diagramy decyzyjne są obecnie szeroko stosowanymi strukturami danych we wspomaganym komputerowo projektowaniu (ang. CAD) układów VLSI i w wielu zastosowaniach , m.in. w sformalizowanej weryfikacji,testowaniu, symulacji i syntezie logicznej układów VLSI, np.: w syntezie logicznej FPGA (ang. Field Programmable Gate Array) i inntych układów.Znalazły także zastosowania poza dziedzina CAD VLSI. Reprezentacje funkcji, zbiorów, relacji, grafów i macierzy w postaci diagramów decyzyjnych są obecnie z powodzeniem stosowane w wielu dziedzinach, w tym w rozwiązywaniu problemów kombinatorycznych, sztucznej inteligencji, teorii uczenia się, weryfikacji protokołów komunikacyjnych, weryfikacji sieci procesów, grafice komputerowej, przetwarzaniu sygnałow, symbolicznej analizie niezawodnosciowej, systemach eksperckich itd. Jednak słabością wszystkich systemów opartych na BDD jest silna zależność rozmiarów diagramów od wybranego uporządkowania zmiennych, a także brak efektywnych reprezentacji dla pewnych funkcji, jak na przykład dla funkcji wyjściowych układów mnożących i innych układów arytmetycznych. Dlatego zaproponowano i przebadano wiele uogólnień standardowych BDD, m.in. wprowadzając nowe rodzaje dekompozycji węzłów. Tym niemniej poszukiwania nowych uogólnień BDD nadal są prowadzone. Obiecującym uogólnieniem są tzw. Transformowane BDD (TBDD), które oparte są na kodowaniu zmiennych, bez dokonywania zmian w strukturze BDD. Na przykład wiadomo, że dla niektórych rodzin funkcji boolowskich liniowe transformacje prowadza do diagramów TBDD, których rozmiary rosną liniowo, podczas gdy dla tych samych rodzin funkcji rozmiary standardowych BDD rosną wykładniczo. W rozprawie rozpatrzono po raz pierwszy w literaturze nieliniowe transformacje BDD i wiele wariantów diagramów opartych na tych transformacjach. Zaprezentowano tego rodzaju uogólnienia wielu wariantów diagramów znanych z literatury. Przedstawiono badania zmierzające do znalezienia heurystycznych metod dających szanse otrzymywania diagramów decyzyjnych o mniejszych rozmiarach niż znane do tej pory. Podano także wyniki eksperymentów, których celem było sprawdzenie efektywności tego podejścia. Rozpatrzono i zbadano uogólnienie algorytmu dynamicznej redukcji rozmiarów diagramów, znanego pod nazwą Sifting, otrzymane przez dodanie do siftingu nieliniowych transformacji (definiujących sposób przedstawienia węzłów w kolejnych dwóch lub trzech poziomach diagramu). W dalszej części rozprawy wykorzystano wprowadzone we wcześniejszych rozdziałach uogólnienia diagramów decyzyjnych (ang. Function-driven decision diagrams) do opracowania algorytmu syntezy układów logicznych odwracalnych (ang. reversible). Algorytm ten oparty jest na zaproponowanej przez autora nowej koncepcji miary złożoności układów odwracalnych. Został on zaprogramowany, a wyniki eksperymentalne dla układów o trzech wejściach i trzech wyjściach zamieszczono w pracy i porównano je z opublikowanymi wcześniej w literaturze, pokazujac, że przedstawiony tu algorytm daje zmniejszenie kosztów układów. Dwa rozdziały poświęcone są pionierskim badaniom nad uniwersalnością i efektywnością bramek odwracalnych, m.in. podano konstrukcję bramek o maksymalnej efektywności. Wyniki tych prac były już wielokrotnie cytowane w publikacjach innych autorów.
Rocznik
Tom
Strony
3--109
Opis fizyczny
Bibliogr. 380 poz., rys., tab., schem.
Twórcy
autor
  • Institute of Computer Science, Warsaw University of Technology
Bibliografia
  • [1] Abadir M.S., Reghbati H.K.: Functional test generation for LSI circuits described by binary decision diagrams. Proc. Int. Test Conference, 1985, pp. 483-492
  • [2] Abadir M.S., Reghbati H.K.: Functional test generation for digital circuits described using binary decision diagrams. IEEE Trans. on Computers, vol. 35, 1986, pp. 375-379
  • [3] Agrawal A., Jha N. K.: Synthesis of reversible logic. Proc. Design and Test in Europe Conference, Paris, France, Feb. 2004, 1384-1385
  • [4] Akers S.: On the specification and analysis of large digital functions. Proc. 17th Int. Symp. on Fault Tolerant Computing, 1977, pp. 89-93
  • [5] Akers S.: Binary decision diagrams. IEEE Trans. on Computers, vol. 27, 1978, pp. 509-516
  • [6] Akers S.: Functional testing with binary decision diagrams. Proc. 8th Int. Symp. on Fault Tolerant Computing, 1978, pp. 82-92
  • [7] Akers S.: Probabilistic techniques for test generation from functional descriptions. Proc. 9th Int. Symp. on Fault Tolerant Computing, 1979, pp. 113-116
  • [8] Al-Rabadi A.: Novel Methods for Reversible Logic Synthesis and Their Application to Quantum Computing. Ph.D., Thesis, Portland, Oregon, Portland State University, 2002
  • [9] Al-Rabadi A.: Reversible logic synthesis using iterative symmetry indices decomposition. Proc. 6th Int. Symp. on Representations and Methodology of Future Computing Technology, March 10-11,2003, pp. 104-112
  • [10] Al-Rabadi A.: New multiple-valued Galois field sum-of-product cascades and lattices for multiple-valued quantum logic synthesis. Proc. 6th Int. Symp. on Representations and Methodology of Future Computing Technology, March 10-11, 2003, pp. 171-182
  • [11] Al-Rabadi A.: Reversible Logic Synthesis: From Fundamentals to Quantum Computing. Springer-Verlag, New York, 2003
  • [12] Al-Rabadi A.: Reversible fast permutation transforms for quantum circuit synthesis. Proc. 34th IEEE Int. Symp. on Multiple-Valued Logic, Toronto, Canada, May 19-22, 2004, pp. 81-86
  • [13] Al-Rabadi A.: Quantum circuit synthesis using classes of GF(3) reversible fast spectral transforms. Proc. 34th IEEE Int. Symp. on Multiple-Valued Logic, Toronto, Canada, May 19-22, 2004, pp. 87-93
  • [14] Al-Rabadi A., Casperson L.: W. Optical realizations of reversible logic. Proc. 11th IEEE Int. Workshop on Logic and Synthesis, New Orleans, USA, June 4-7, 2002, 21-26
  • [16] Al-Rabadi A., Casperson L., Perkowski M., Song X.: Canonical representations for two-valued quantum computing. Proc. 5th Int. Workshop on Boolean Problems, Freiberg, Germany, Sept. 19-20, 2002, pp. 23-32
  • [17] AI-Rabadi A., Perkowski M.: New classes of multi-valued reversible decompositions for three-dimensional layout. Proc. 5th Int. Workshop on Applications of Reed-Muller Expansion in Circuit Design, Starkville, MS, USA, Aug. 10-11, 2001, pp. 185-204
  • [18] Al-Rabadi A., Zwick M.: Reversible modified reconstructability analysis of Boolean circuits and its quantum computation. Kybernetes, vol. 33, 2004, pp. 921-932
  • [19] Andersen H.R., Hulgaard H.: Boolean expression diagrams. Proc. Logic in Computer Science Conf. 1997, pp. 88-98
  • [20] Ashar P., Devadas S., Keutzer K.: Path-delay-fault testability properties of multiplexor-based networks. INTEGRATION. the VLSI Journal, vol. 15, 1993, pp. 1-23
  • [21] Babu H., Islam R., Chowdhury A.R., Chowdhury S.M.A.: Reversible logic synthesis for minimization of full-adder circuit. Proc. EUROMICRO Symp. on Digital Systems Design, Belek-Antalya, Turkey, Sept. 2003, pp. 50-54
  • [22] Bahar R.I.; Frohm E.A., Gaona C.M., Hachtel G.D., Macii E., Pardo A., Somenzi F.: Algebraic decision diagrams and their applications. Proc. Int. Conf. on Computer-Aided Design, Nov. 1993, pp. 188-191
  • [23] Bandyopadhyay S.: Nanoelectronic implementations of reversible and quantum logic. Superlattices and Microstructures, vol. 23, 1998, pp. 445-464
  • [24] Barenco A., Bennett C.H., Cleve C.H., DiVincenzo D.P., Margolus N., Shor N., Sleator T., Smolin J.A., Weinfurter H.: Elementary gates for quantum computation. Physical Review A, vol. 52, 1995, pp. 3457-3467
  • [25] Becker B., Drechsler R.: How many decomposition types do we need? Proc. European Design and Test Conference, 1995, pp. 438-443
  • [26] Becker B., Drechsler R., Theobald M.: On the expressive power of OKFDDs. Formal Methods in System Design, vol. 11, 1997, pp. 5-21
  • [27] Bennett C.H.: Logical reversibility of computation. IBM Journal of Research and Development, vol. 17, 1973, pp. 525-532
  • [28] Bennett C.H.: Notes on the history of reversible computation. IBM Journal of Research and Development, vol. 30, 1988, pp. 16-23
  • [29] Bennett C.H., Landauer R.: The fundamental physical limits of computation. Scientific American, vol. 253, July 1985, pp.38-46
  • [30] Bennett C.H., DiVincenzo D.P.: Quantum information and computation. Nature, vol. 404, March 2000, pp. 247-255
  • [31] Bern J., Meinel C., Slobodova A.: Efficient OBDD-based Boolean manipulation in CAD beyond current limits. IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, vol. 15, 1996, pp. 127-130
  • [34] Bertacco V., Minato S., Verplaetse P., Benini L.: De Micheli G., Decision diagrams and pass transistor logic synthesis: Proc. 7th IEEE Int. Workshop on Logic Synthesis, 1997
  • [35] Bhattacharya D., Agrawal P., Agrawal V.D.: Test generation for path delay faults using binary decision diagrams. IEEE Trans. on Computers, vol. 44, 1995, pp. 434-447
  • [36] Bohidar S., Nepal K., Bahar R.I.: Accurate keeper sizing using ADD-based models of subthreshold leakage. Proc. 13th IEEE/ACM Int. Workshop on Logic and Synthesis, Temecula, CA, USA, June 2-4, 2004, pp. 1-7
  • [37] Bollig B., Loebbing M., Wegener I.: On the effect of local changes in the variable ordering of ordered decision diagrams. Information Processing Letters, vol. 59, 1996, pp. 233-239
  • [38] Bollig B., Lobbing M., SauerhofT M., Wegener I.: On the complexity of the hidden weighted bit function for various BDD models. RAIRO Theoretical Informatics and Applications, vol. 33, 1999, pp. 103-115
  • [39] Bollig B., Wegener I.: Improving the variable ordering is NP-complete. IEEE Trans. On Computers, vol. 45, 1996, pp. 993-1002
  • [40] Bose S., Fisher A.: Automatic verification of synchronous circuits using symbolic logic simulation and temporal logic. Proc. Workshop on Applied Formal Methods for Correct VLSI Design. 1989, pp. 759-764
  • [41] Brace K.S., Rudell R.L., Bryant R.E.: Efficient implementation of a BDD package. Proc. Design Automation Conf., 1990, pp. 40-45
  • [42] Bruce J.W., Thornton M.A., Shivakumaraiah L., Kokate P.S., Li X.: Efficient adder circuits based on a conservative reversible logic gate. Proc. IEEE Symp. on VLSI, Pittsburgh, PA, USA, April 2'002, pp. 83-88
  • [43] Bryant R.E.: Graph-based algorithms for Boolean function manipulation, IEEE Trans. On Computers, vol. 35, 1986, pp. 677-691
  • [44] Bryant R.E.: On the complexity of VLSI implementations and graph representations of Boolean functions with applications to integer multiplication. IEEE Trans. on Computers, vol. 40, 1991, pp. 205-213
  • [45] Bryant R.E.: Symbolic Boolean manipulation with ordered binary decision diagrams. ACM Computing Surveys, vol. 24, 1992, pp. 293-318
  • (46] Bryant R.E.: Binary decision diagrams and beyond: Enabling techniques for formal verification. Proc. Int. Conf on Computer-Aided Design, 1995, pp. 236-243
  • [47] Bryant R.E.: Bit-level analysis of an SRT divider circuit. Proc. Design Automation Conf., 1996, pp. 661-665
  • [48] Such P., Narayan A., Newton A.R., Sangiovanni-Vincentelli A.L.: Logic synthesis for large pass transistor circuits. Proc. Int. Conf on Computer-Aided Design, Nov. 1997, pp. 663-670
  • [49] Burch J.R.: Using BDDs to verify multipliers. Proc. 28th Design Automation Conf, 1991, pp. 408-412
  • [50] Burch J.R., Clarke E.M., Long D.E., Representing circuits more efficiently in symbolic model checking, Proc. 28th Design Automation Conf., 1999, pp.403-407
  • [51] Burch J.R., Clarke E.M., Long D.E., McMillan K.L., Dill D.L.: Symbolic model checking for sequential circuit verification. IEEE Trans. on Computer-Aided Design of Integrated Circuits, vol. 13, 1994, pp. 401-424
  • [52] Burch J.R., Clarke E.M., McMillan K.L., Dill D.L.: Sequential circuit verification using symbolic model checki ng. Proc. 27th Design Automation Conf, 1990, pp. 46-51
  • [53] Burch J.R., Clarke E.M., McMillan K.L., Dill D.L., Hwang L.J.: Symbolic model checking: 10(20) states and beyond. Information and Computation, vol. 98, 1992, pp. 142-170
  • [54] Cao A., Koh C.-K.: Decomposition of BDDs with application to physical mapping of regular PTL circuits. Proc. 13th IEEE/ACM Int. Workshop on Logic and Synthesis, Temecula, CA, USA, June 2-4, 2004, pp. 244-249
  • [55] Cao A., Koh C.-K.: Non-crossing OBDDs for mapping to regular circuit structures. Proc. IEEE Int. Conf on Computer Design, Oct. 2003, pp. 338-343
  • [56] Cattaneo G., Leporati A., Leporini R.: Fredkin gates for finite-valued reversible and conservative logics. Journal of Physics A: Mathematical & General, vol. 35, 2002, pp.9755-9785
  • [57] Chakrabarty, K., Hayes J.P.: Balanced Boolean functions. IEE Proceedings - Computers and Digital Techniques, vol. 145, 1998, pp. 52-62
  • [58] Chang H.: Magnetic-bubble conservative logic. Int. Journal of Theoretical Physics, vol. 21, 1982, pp. 905-940
  • [59] Chang H.P:, Rogers W.A., Abraham J.A.: Structured functional level test generation using binary decision diagrams, Proc. Int. Test Conference, 1986, pp. 97-104
  • [60] Chang S., Marek-Sadowska M., Hwang T.: Technology mapping for LUT FPGAs based on decomposition of BDDs. IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, vol. 15, 1996, pp. 1226-1235
  • [61] Chaudhary R., Liu T.-H., Aziz A., Burns J.L.: Area-oriented synthesis for pass-transistor logic, Proc. Int. Conf on Computer Design, Oct. 1998, pp. 160-167
  • [62] Chen Y.-A.: Arithmetic circuit verification based on word-level decision diagrams. PhD Thesis, Carnegie-Mellon University, 1998
  • [63] Chen Y.-A., Bryant R.E.: *PHDD: An efficient graph representation for floating point circuit verification. Proc. Int. Conf on Computer-Aided Design, 1997, pp. 2-7
  • [64] Cho K., Bryant R.: Test pattern generation for sequential MOS circuits by symbolic fault simulation. Proc. 26th Design Automation Conf, 1989, pp. 418-423
  • [65] Chrzanowska-Jeske M., Wang Z., Xu Y.: A regular representation for mapping to fine-grain, locally-connected FPGAs, Proc. IEEE Int. Symp. on Circuits and Systems, 1997, pp. 2749-2752
  • [66] Clarke E.M., Fujita M., McGeer P., McMillan K.L., Yang J., Zhao X.: Multi terminal decision diagrams: an efficient data structure for matrix representation. Proc. Int. Workshop on Logic Synthesis, 1993, pp. P6a:1-15
  • [67] Clarke E.M., Fujita M., Zhao X.: Hybrid decision diagrams-overcoming the limitations of MTBDDs and BMDs. Proc. Int. Conf on Computer-Aided Design, 1995, pp. 159-163
  • [68] Clarke E.M., Khaira M., Zhao X., Word-level model checking - Avoiding the Pentium FDIV error. Proc. 33rd Design Automation Conf, 1996, pp. 645-648
  • [69] Cong J., Ding Y.: Combinational logic synthesis for LUT based FPGAs. ACM Trans. on Design Automation of Electronic Systems, vol. 1, 1996, pp. 145-204
  • [70] Coudert O., Berthet C., Madre J.C.: Verification of sequential machines based on symbolic execution. Proc. Workshop on Automatic Verification Methods for Finite State Systems, Lecture Notes in Computer Science, vol. 407, 1989, pp 365-373
  • [71] Coudert O., Berthet C., Madre J.C.: Verification of sequential machines using Boolean functional vectors. Proc. IMEC-IFIP Workshop on Applied Formal Methods for Correct VLSI Design, 1989, pp. 111-128
  • [72] Coudert O., Madre J.C.: A unified framework for the formal verification of sequential circuits. Proc. Int. Conf on Computer-Aided Design, Nov. 1990, pp. 126-129
  • [73] Coudert O., Madre J.C., Berthet C.: Verifying temporal properties of sequential machines without building their state diagrams. Proc. Workshop on Computer-Aided Verification, 1990
  • [74] Curtis E., Perkowski M.: A transfonnation based algorithm for ternary reversible logic synthesis using universally controlled ternary gates. Proc. 13th IEEE/ACM Int. Workshop on Logic and Synthesis, Temecula, CA, USA, June 2-4, 2004, pp. 345-352
  • [75] Cuykendall R.: Three-port reversible logic. Applied Optics, vol. 27, 1988, pp. 1772-1779
  • [76] Cuykendall R., Andersen D.R.: Reversible computing: All-optical implementation of interaction and Priese gates. Optics Communications, vol. 62, 1987, pp. 232-236
  • [77] Cuykendall R., Andersen D.R.: Reversible optical computing circuits. Optics Letters, vol.l2, 1987, pp. 542-544
  • [78] Cuykendall R., McMillin D.: Control-specific optical Fredkin circuits. Applied Optics, vol. 26, 1987, pp. 1959-1963
  • [79] Denier N., Yen B., Perkowski M., Kerntopf P.: Synthesis of reversible circuits from a subset of Muthukrishnan-Stroud quantum realizable multi-valued gates. Proc. 13th IEEE/ACM Int. Workshop on Logic and Synthesis, Temecula, CA, USA, June 2004, pp. 321-328
  • [80] De Vos A.: Proposal for an implementation of reversible gates in c-MOS. Int. Journal of Electronics, vol. 76, 1994, pp. 293-302
  • [81] De Vos A.: Reversible computing in c-MOS. Proc. Advanced Training Course on Mixed Design of VLSI Circuits, 1994, pp. 3641
  • [82] De Vos A.: A 12-transistor c-MOS building-block for reversible computers. Int. Journal ofElectronics, vol. 79, 1995, pp. 171-182
  • [83] De Vos A.: Reversible and endoreversible computing. Int. Journal ofTheoretical Physics, vol. 34, 1995, pp. 2251-2266
  • [84] De Vos A.: Towards reversible digital computers. Proc. European Conf on Circuit Theory and Design, Budapest, Hungary, vol. 2, 1997, pp. 923-931
  • [85] De Vos A.: Reversible computing. Progress in Quantum Electronics, vol. 23, 1999, pp. 1-49
  • [86] De Vos A.: Lossless computing. Proc. IEEE Workshop on Signal Processing, Poznań, Poland, Oct. 10,2003, pp. 7-14
  • [87] De Vos A., Beunis F.: Optimizing reversible chips. Proc. 10th Int. Conf. MIXDES, Łódź, Poland, June 26-28, 2003, pp. 263-267
  • [88] De Vos A., Desoete B., Adamski A., Pietrzak P., Sibiński M., Widerski T.: Design of reversible logic circuits by means of control gates. Integrated Circuit Design. Lecture Notes in Computer Science, vol. 1918, 2000, pp. 255-264
  • [89] De Vos A., Desoete B., Janiak F., Nogawski A.: Control gates for reversible computers. Proc. 11th Int. Workshop on Power and Timing Modeling. Optimization and Simulation (PATMOS), 2001, pp. 9.2.1-9.2.10
  • [90] De Vos A., Raa B., Storme L.: Generating the group of reversible logic gates. Journal of Physics A: Mathematical & General, vol. 35, 2002, pp. 7063-7078
  • [91] De Vos A., Storme L.: r-Universal reversible logic gates. Journal of Physics A: Mathematical & General, vol. 37, 2004, pp. 5815-5824
  • [92] De Vos A., Storme L.: All non-linear reversible logic gates are r-universal. Proc. 6th Int. Workshop on Boolean Problems, Freiberg, Germany, Sept. 23-24, 2004, pp. 25-31
  • [93] De Vos A., Van Rentergem Y.: Reversible computing: from mathematical group theory to electronical circuit experiment. Proc. ACM Computing Frontiers Conf, Ischia, Italy, May 1-6, 2005, pp. 35-44
  • [94) De Vos A., Van Rentergem Y.: Synthesis of reversible circuits. Proc. 14th IEEE/ACM Int. Workshop on Logic and Synthesis, Lake Arrowhead, CA, USA, June 8-10, 2005, pp. 101-108
  • [95] DiVincenzo D.P.: Quantum gates and circuits. Proc. Royal Society of London A, vol. 454, 1998, pp. 261-276
  • [96] Drechsler R.: BiTeS: A BDD based test pattern generator for strong robust path delay faults. Proc. European Design Automation Conf, 1994, pp. 322-327
  • [97] Drechsler R.: Formal Verification of Circuits. Kluwer Academic Publishers, Boston/Dordrecht/London 2000
  • [98] Drechsler R.: Evaluation of static variable ordering heuristics for MDD construction. Proc. 32nd IEEE Int. Symp. on Multiple-Valued Logic, Boston, MA, USA, May 2002
  • [99] Drechsler R., Becker B.: Ordered Kronecker functional decision diagrams - A data structure for representation and manipulation of Boolean functions. IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, vol. 17, 1998, pp. 965-973
  • [100] Drechsler R., Becker B.: Ordered Binary Decision Diagrams. Theory and Implementation, Kluwer Academic Publishers, Boston/Dordrecht/London 1998
  • [101] Drechsler R., Günther W.: Toward One-Pass Synthesis. Kluwer Academic Publishers, Norwell, MA 2002
  • [102] Drechsler R., Jankovic D., Stankovic R.S.: Generic implementation of multi-valued logic decision diagram packages. Multiple-Valued Logic - An International Journal, 2002
  • [103] Drechsler R., Miller D.M., Decision diagrams in multi-valued logic. Multiple-Valued Logic - An International Journal, vol. 4, 1998, pp. 1-8
  • [104] Drechsler R., Sarabi A., Theobald M., Becker B., Perkowski M.A.: Efficient representation and manipulation of switching functions based on ordered Kronecker functional decision diagrams. Proc. 31st Design Automation Conf., 1994, pp. 415-419
  • [105] Drechsler R., Shi J., Fey G.: Synthesis of fully testable circuits from BDDs. IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, vol. 23, 2004, pp. 440-443
  • [106] Drechsler R., Sieling D.: Binary decision diagrams in theory and practice. Int. Journal on Software Tools in Technology Transfer, vol. 3, 2001, pp. 112-136
  • [108] Dick J. R., Dueck G.W., Maslov D.: Toffoli templates with 8 gates. Proc. 6th Int. Workshop on Boolean Problems, Freiberg, Germany, Sept. 23-24, 2004, pp. 41-47
  • [109] Dueck G.W., Maslov D., Reversible function synthesis with minimum garbage outputs. Proc. 6th Int. Symp. on Representations and Methodology of Future Computing Technology, Trier, Gennany, March 10-11, 2003, pp. 154-161
  • [110] Dueck G.W., Maslov D., Miller D.M.: Transformation-based synthesis of networks of Toffoli/Fredkin gates. IEEE Canadian Conference on Electrical and Computer Engineering, Montreal, Canada, May 4-7, 2003, vol. 1, pp. 211-214
  • [111] Dunham B.: The multipurpose bias device, part I. IBM Journal of Research and Development, vol. 1, 1957, pp. 119-129
  • [112] Dunham B., Middleton D., North J.H., Sliter J.A., Weltzien J.: The multipurpose bias device, part II. IBM Journal of Research and Development, vol. 3, 1959, pp. 46-53
  • [113] Dunham B., North J.H.: The use of multipurpose logical devices. Proc. Int. Symp. on the Theory of Switching 1957, The Annals of the Computation Laboratory of Harvard University, vol. 30, part II, pp. 192-200
  • [114] Ebendt R., Günther W., Drechsler R.: An improved branch and bound algorithm for exact BDD minimization. IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, vol. 22, 2003, pp. 1657-1663
  • [115] Ebrenfeucht A., Orłowska E.: Mechanical proof procedure for propositional calculus. Bulletin de l'AcadePolonaise des Sciences, Serie des sciences math., astr. et phys., vol. XV, 1967, pp. 25-30
  • [116] Epstein G.: Multiple-Valued Logic Design. Institute of Physics Publishing, Bristol/Philadelphia 1993
  • [117] Falkowski B.J., Fu C.: Polynomial expansions over GF(3) based on fastest transformation. Proc. 33rd IEEE Int. Symp. on Multiple-Valued Logic, Tokyo, Japan, May 16-19, 2003, pp. 40-45
  • [118] Falkowski B.J., Fu C.: Properties of fastest linearly independent transforms over GF(3). Proc. 37th IEEE Int. Symp. on Circuits and Systems, Vancouver, Canada, May 2004, vol. 5, pp. 285-288
  • [119] Falkowski B.J., Kannurao S.: Analysis of disjoint decomposition of balanced Boolean functions through the Walsh spectrum. IEE Proceedings - Computers and Digital Techniques, vol. 148, 2001, pp. 71-78
  • [120] Falkowski B.J., Lozano C.C.: Generation and properties of fastest transform matrices over GF(2). Proc. 36th IEEE Int. Symp. on Circuits and Systems, Bangkok, Thailand, May 2003, vol. 4, pp. 740-743
  • [121] Falkowski B., Stankovic R.S.: Spectral interpretation and applications of decision diagrams. VLSI Design. Vol. 11, 2000, pp. 85-105
  • [122] Feynman R.: Quantum mechanical computers. Optics News, vol. 11, 1985, pp. 11-20
  • [123] Fidytek R., Mostowski A.W., Somla R., Szepietowski A.: Algorithms counting monotonne Boolean functions. Information Processing Letters, 79, 2001, pp. 203-209
  • [124] Files C., Drechsler R., Perkowski M.A.: Functional decomposition of MVL functions using multi-valued decision diagrams. Proc. 27th IEEE Int. Symp. on Multiple-Valued Logic, 1997, pp. 27-32
  • [125] Files C., Perkowski M.A.: New multi-valued functional decomposition algorithm based on MDDs. IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, vol. 14, 2000, pp. 1081-1086
  • [126] Fortune S., Hopcroft J., Schmidt E.: The complexity of eqivalence and containment for free single variable program schemes. Proc. Int. Colloquium on Automata, Languages and Programming, Lecture Notes in Computer Science, vol. 62, Springer-Verlag, Berlin 1978, pp. 227-240
  • [127] Frank M.P.: Reversibility for Efficient Computing. Ph.D. Thesis, Massachussets Institute of Technology, Cambridge, USA, 1999
  • [128] Fredkin E., Toffoli T.: Conservative logic. Int. Journal of Theoretical Physics, vol. 21, 1982, pp. 219-253
  • [129] Fujita M., Kukimoto Y., Brayton R.K.: BDD minimization by truth table permutations. Proc. IEEE. Int. Workshop on Logic Synthesis, 1995
  • [130] Garey M.R., Johnson M.: Computers and Intractability: A Guide to the Theory of NP-Completeness. W.H. Freeman, San Francisco, CA, 1978
  • [131] Godefroid P., Long D.E.: Symbolic protocol verification with queue BDDs. Formal Methods in System Design, vol. 14, 1999, pp. 257-271
  • [132] Goldberg E., Kukimoto Y., Brayton R.K.: Canonical TBDD's and their application to combinational verification. Proc. IEEE Int. Workshop on Logic Synthesis, 1997
  • [133] Goldberg E., Kukimoto Y., Brayton R.K.: Combinational verification based on high-level functional specifications. Proc. Design Automation and Test in Europe Conf, 1998, pp. 803-808
  • [134] Gröpl C., Prömel H.J., Srivastav A.: On the evolution of the worst-case OBDD size. Information Processing Letters, vol. 77, 2001, pp. 1-7
  • [135] Grover L.: A fast quantum mechanical algorithm for database search. Proc. ACM Symp. On Theory of Computing, 1996, pp. 212-219
  • [136] Grover L.: Quantum mechanics helps in searching for a needle in a haystack. Physical Review Letters, vol. 78, 1997, pp. 325-328
  • [137] Günther W., Drechsler R.: Minimization of BDDs using linear transformations based on evolutionary techniques. Proc. lnt. Symp. on Circuits and Systems, Nov. 1999
  • [138] Günther W., Drechsler R.: On the computational power of linearly transformed BDDs. Information Processing Letters, vol. 75, 2000, pp. 119-125
  • [139] Günther W., Drechsler R.: ACTion: Combining logic synthesis and technology mapping for MUX based FPGAs. Journal of Systems Architecture, vol. 46, 2000, pp. 1321-1334
  • [140] Günther W., Drechsler R.: Performance driven optimization for MUX based FPGAs. Proc. Conf on VLSJ Design, 2001, pp. 311-316
  • [141] Günther W., Drechsler R.: Efficient minimization and manipulation of linearly transformed binary decision diagrams. IEEE Trans. on Computers, vol. 52, 2003, pp. 1196-1209
  • [142] Günther W., Drechsler R., Horeth S.: Efficient dynamic minimization of word-level DDs based on lower bound computation. Proc. Int. Conf on Computer Design, 2000, pp. 383-388
  • [143] Hachtel G.D., Somenzi F.: Logic Synthesis and Verification Algorithms. Kluwer Academic Publishers, Boston/Dordrecht/London 1996
  • [144] Hansen J.P., Sekine M.: Synthesis by spectral translation using BDDs. Proc. 33rd Design Automation Conf., 1996, pp. 248-253
  • [145] Hassoun S., Sasao T., Brayton R.K. (eds.): Logic Synthesis and Verification. Kluwer Academic Publishers, Boston/Dordrecht/London 2002
  • [146] Hett A., Drechsler R., Becker B.: Fast and efficient construction of BDDs by reordering based synthesis. Proc. European Design and Test Conf, 1997, pp. 168-175
  • [147] Hett A., Günther W., Becker B.: Application of linearly transformed BDDs in sequential verification. Proc. Asia and South Pacific Design Automation Conf., 2001, pp. 91-96
  • [148] A. Hey, R.W. Allen (eds.), The Feynman Lectures on Computation. Addison-Wesley, Reading 1996
  • [149] Higuchi H., Somenzi F.: Lazy group sifting for efficient symbolic state traversal of FSMs. Proc. Int. Conf. on Computer-Aided Design, Nov. 1999, pp. 45-49
  • [150] Hong Y., Beerel P.A., Burch J.R., McMillan K.L.: Safe BDD minimization using don't cares. Proc. 34th Design Automation Conf, 1997, pp. 208-213
  • [151] Hong Y., Beerel P.A., Burch J.R., McMillan K.L.: Sibling-substitution-based BDD minimization using don't cares. IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, vol. 19, 2000, pp. 44-55
  • [152] Höreth S., Drechsler R., Dynamic minimization of word-level decision diagrams. Proc. Design Automation and Test in Europe Conf., 1998, pp. 612-617
  • [153] Hozumi T., Kakusho O., Hata Y., Comparison of logic operators for use in multiple-valued sum-of-products expressions. IEICE Trans. on Information and Systems, vol. E82-D, 1999, pp. 933-939
  • [154] Hung W.N.N., Song X., Aboulbamid E.M., Driscoll M.A.: BDD minimization by scatter search. IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, vol. 21, 2002, pp. 974-979
  • [155] Hung W.N.N., Song X., Yang G., Yang J., Perkowski M.: Quantum logic synthesis by symbolic reachability analysis. Proc. 41st Design Automation Conf, San Diego, CA, USA, June 2004, pp. 838-841
  • [156] Inagaki, K.: Elemental universality of sets of logic devices. IEICE Trans. on Information and Systems, vol. E81-D, 1998, pp. 767-772
  • [157] Iwama K., Kambayashi Y., Yamashita S.: Transformation rules for designing CNOT-based quantum circuits. Proc. 39th Design Automation Conf., 2002, pp. 419-425
  • [158] Jaekel A. et al.: Design of dynamic pass-transistor logic circuits using 123-decision diagrams. IEEE Trans. on Circuits and Systems - Part I: Fundamental Theory and Applications, vol. 45, 1998, pp. 1172-1181
  • [159] Jain J., Narayan A., Coelho C., Khatri S.P., Sangiovanni-Vincentelli A., Brayton R.K., Fujita M.: Decomposition techniques for efficient ROBDD construction. Proc. Int. Conf on Formal Methods in Computer-Aided Design, Nov. 1996, pp. 419-434
  • [160] Jain J., Bitner J., Abadir M.S., Abraham J.A., Fussell D.S.: Indexed BDDs: Algorithmic advances in techniques to represent and verify Boolean functions. IEEE Trans. on Computers, vol. 46, 1997, pp. 1230-1245
  • [161] Jankovic D., Günther, W., Drechsler R.: Lower bound sifting for MDDs. Proc. 30th IEEE Int. Symp. on Multiple-Valued Logic, Portland, OR, USA, May 2000, pp. 193-198
  • [162] Jankovic D., Stankovic R.S., Drechsler R.: Decision diagram optimization using copy properties. Proc. EUROMICRO Symp. on Digital Systems Design, Dortmund, Germany, Sept. 4-6, 2002, pp. 236-243
  • [163] Jankovic D., Stankovic R.S., Drechsler R.: Reduction of sizes of multi-valued decision diagrams by copy properties. Proc. 34th IEEE Int. Symp. on Multiple-Valued Logic, Toronto, Canada, May 19-22, 2004, pp. 223-228
  • [164] Jha S. , Lu Y., Minea M., Clarke E.M.: Equivalence checking using abstract BDDs. Proc. Int. Conf. on Computer Design, 1997, pp. 332-337
  • [165] Kam T., Villa T., Brayton R., Sangiovanni-Vincentelli A.: Multi-valued decision diagrams: Theory and applications. Multiple-Valued Logic - An International Journal, vol. 4, 1998, pp. 9-24
  • [166] Kaneko M., Tian J.: Concurrent cell generation and mapping for CMOS logic circuits. Proc. Asia and South Pacific Design Automation Conf., 1997
  • [167] Karplus K.: Xmap: A technology mapper for table-lookup Field-Programmable Gate Arrays. Proc. 28th Design Automation Conf., 1991, pp. 240-243
  • [168] Karplus K.: Amap: A technology mapper for selector-based Field-Programmable Gate Arrays. Proc. 28th Design Automation Conf., 1991, pp. 244-247
  • [169] Karpovsky M.G., Stankovic R.S., Astola J.T.: Reduction of sizes of decision diagrams by autocorrelation functions. IEEE Trans. on Computers, vol. 52, 2003, pp. 592-606
  • [170] Karunanithi, S., Friedman, A.D.: Some new types of logical completeness. IEEE Trans. on Computers, vol. 27, 1978, pp. 998-1005
  • [171] Kautz W.H.: State-logic relations in autonomous sequential networks. Proc. Eastern Joint Comp. Conf., 1958, pp. 119-127
  • [172] Kebschull U., Schubert E., Rosenstiel W.: Multilevel logic synthesis based on functional decision diagrams. Proc. European Conf on Design Automation, 1992, pp. 43-47
  • [173] Kerntopf P.: On Boolean functions having maximal number of subfunction classes. Proc. 15th IEEE Symp. on Switching and Automata Theory, 1974, pp. 205-211
  • [174] Kerntopf P.: Binary decision diagrams based on generalized decomposition types. Proc. 6th Int. Conf on Mixed Design of Integrated Circuits and Systems, Cracow, Poland, June 1999, pp. 95-98
  • [175] Kerntopf P.: A new approach to synthesis of pass-transistor circuits using BDDs. Proc. 22nd Conf. on Circuit Theory and Electronic Networks, Stare Jabłonki, Poland, 1999, pp. 137-142
  • [176] Kerntopf P., Application of binary decision diagrams to testing of path delay faults (in Polish). Proc. National Symp. on Telecommuncation, Bydgoszcz, Poland, 1999, vol. B, pp. 127-133
  • [177] Kerntopf P.: A comparison of logical efficiency of reversible and conventional gates. Proc. 9th IEEE Int. Workshop on Logic Synthesis, Dana Point, CA, USA, May 31-June 2, 2000, pp. 261-269
  • [178] Kerntopf P.: On efficiency of reversible logic (3,3)-gates. Proc. 7th Int. Conf. on Mixed Design of Integrated Circuits and Systems, Gdynia, Poland, June 2000, pp. 185-190
  • [179] Kerntopf P.: Maximally efficient binary and multiple-valued reversible gates. Proc. 10th Int. Workshop on Post-Binary Ultra-Large-Scale Integration Systems, Warsaw, Poland, May 2001, pp. 55-58
  • [180] Kerntopf P.: Decision diagrams - a universal data structure. Proc. Int. Workshop on Theory and Applications of Multiple-Valued Logic, Warsaw, Poland, May 25, 2001, pp. 43-52
  • [181] Kerntopf P., Nonlinear transformations of decision diagrams. Proc. 10th IEEE Int. Workshop on Logic and Synthesis, Granlibakken, USA, June 12-15, 2001, pp. 173-178
  • [182] Kerntopf P.: New generalizations of Shannon decomposition. Proc. 5th Int. Workshop on Applications of Reed-Muller Extension in Circuit Design, Starkville, USA, Aug. 10-11, 2001, pp. 109-118
  • [183] Kerntopf P.: An approach to minimization of decision diagrams. Proc. EUROMICRO Symp. on Digital Systems Design, Warsaw, Poland, Sept. 2001, pp. 79-86
  • [184] Kerntopf P.: New generalizations of decision diagrams and applications in logic synthesis (in Polish). Proc. 5th National Conf. on Reprogrammable Digital Circuits, Szczecin, Poland, May 9-10, 2002, pp. 25-34
  • [185] Kerntopf P.: An approach to designing complex reversible logic gates. Proc. 11th IEEE Int. Workshop on Logic and Synthesis, New Orleans, LA, USA, June 4-7, 2002, pp. 31-36
  • [186] Kerntopf P.: Nonlinear sifting of decision diagrams. Proc. 11th IEEE Int. Workshop on Logic and Synthesis, New Orleans, USA, LA, June 4-7, 2002, pp. 85-90
  • [187] Kerntopf P.: Dynamic minimization of binary decision diagrams based on function-driven approach. Proc. 9th Int. Conference on Mixed Design of Integrated Circuits and Systems, Wrocław, Poland, June 20-22, 2002, pp. 265-270
  • [188] Kerntopf P.: Synthesis of multipurpose reversible logic gates. Proc. EUROMICRO Symp. on Digital Systems Design, Dortmund, Germany, Sept. 4-6, 2002, pp. 259-266
  • [189] Kerntopf P.: On universality of binary reversible logic gates. Proc. 5th Int. Workshop on Boolean Problems, Freiberg, Germany, 2002, pp. 47-52
  • [190] Kerntopf P.: Binary decision diagrams based on single and multiple generalized Shannon expansions. Proc. 6th Int. Symp. on Representations and Methodology of Future Computing Technology, Trier, Germany, March 10-11, 2003, pp. 183-190
  • [191] Kerntopf P.: Multiple-valued decision diagrams based on generalized Shannon expansions. Proc. 12th Int. Workshop on Post-Binary Ultra-Large-Scale Integration Systems, Tokyo, Japan, May 16, 2003, pp. 9-16
  • [192] Kerntopf P.: Relationship between function-driven binary decision diagrams and reversible logic gates. Proc. 10th Int. Conf on Mixed Design of Integrated Circuits and Systems, Łódź, Poland, June 26-28, 2003, pp. 272-277
  • [193] Kerntopf P.: Reversible logic circuit synthesis based on a new complexity measure. Proc. 13th IEEE/ACM Int. Workshop on Logic and Synthesis, Temecula, CA, USA, June 2004, pp. 106-113
  • [194] Kerntopf P.: A new heuristic algorithm for reversible logic circuit synthesis. Proc. 41st Design Automation Conference, San Diego, CA, June 7-11, 2004, pp. 834-837
  • [197] Kerntopf P., Perkowski M.A., Khan M.H.A.: On universality of ternary reversible logic gates. Proc. 12th Int. Workshop on Post-Binary Ultra-Large-Scale Integration Systems, Tokio, Japonia, May 16, 2003, pp. 1-8
  • [198] Kerntopf P., Perkowski M.A., Khan M.H.A.: On universality of general reversible multiple valued logic gates. Proc. 34th IEEE Int. Symp. on Multiple-Valued Logic, Toronto, Canada, May 19-22, 2004, pp. 68-73
  • [199] Kerntopf P., Perkowski M.A., Khan M.H.A.: On universality of general reversible multiple.valued logic gates. Accepted to Journal of Multiple-Valued Logic and Soft Computing, Special Issue on Nano MVL Techniques, 2005
  • [200] Keyes R., Landauer R.: Minimal energy dissipation in logic. IBM Journal of Research and Development, vol. 14, 1970, pp. 153-157
  • [201] Khan M.H.A.: Design of full-adder with reversible gates. Proc. Int. Conf on Computer and Information Technology, Dhaka, Bangladesh, Dec. 27-28, 2002, pp. 515-519
  • [202] Khan M.H.A., Perkowski M.: Logic synthesis with cascades of new reversible gate families, Proc. 6th Int. Symp. on Representations and Methodology of Future Computing Technology, March 10-11, 2003, pp. 43-55
  • [203] Khan M.H.A., Perkowski M.: Multi-output ESOP synthesis with cascades of new reversible gate family. Proc. 6th Int. Symp. on Representations and Methodology of Future Computing Technology, March 10-11, 2003, pp. 144-153
  • [204] Khan, M.H.A., Perkowski, M., Kerntopf, P.: Multi-output Galois field sum of product (GFSOP) synthesis with new quantum cascades. Proc. 33rd IEEE Int. Symp. on Multiple-Valued Logic, Tokyo, Japan, May 16-19, 2003, pp. 146-153
  • [205] Khan M.H.A., Perkowski M.A., Khan M.R.: Ternary Galois field expansions for reversible logic and Kronecker decision diagrams for ternary GFSOP minimization. Proc. 34th IEEE Int. Symp. on Multiple-Valued Logic, Toronto, Canada, May 19-22, 2004, pp. 58-67
  • [206] Khan M.H.A., Perkowski M., Khan M.R., Kerntopf P.: Ternary GFSOP minimization using Kronecker decision diagrams and their synthesis with quantum cascades. Journal of Multiple-Valued Logic and Soft Computing: Special Issue to Recognize T. Higuchi's Contributions to Multiple-Valued VLSI Computing, vol. 11, 2005, no. 5-6
  • [207] Khlopotine A., Perkowski M., Kerntopf P.: Reversible logic synthesis by gate composition. Proc. 11th IEEE/ACM Int. Workshop on Logic and Synthesis, New Orleans, LA, June 4-7, 2002, pp. 261-266
  • [208] Kinoshita K., Sasao T., Matsuda J.: On magnetic bubble logic circuits. IEEE Trans. on Computers, vol. 25, 1976, pp. 247-253
  • [209] Klir G.J.: On universal logic primitives. IEEE Trans. on Computers, vol. 20, 1971, pp. 467-469
  • [210] Knill E., Laflamme E., Millburn G.J.: A scheme for efficient quantum computation with linear optics. Nature, 2001, 46-52
  • [212] Lai Y.-T., Pan K.-R., Pedram M.: OBDD-based function decomposition: algorithms and implementation. IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, vol. 15, 1996, pp. 977-990
  • [213] Lai Y.-T., Pedram M., Vrudhula S.: BDD based decomposition of logic functions with application to FPGA synthesis, Proc. 30th Design Automation Conf., 1993, pp. 642-647
  • [214] Lai Y.-T., Sastry S.: Edge-valued binary decision diagrams for multi-level hierarchical verification. Proc. 29th Design Automation Conf., 1992, pp. 608-613
  • [215] Landauer R.:. Irreversibility and heat generation in the computing process. IBM Journal of Research and Development, vol. 3, 1961, pp. 183-191
  • [216] Lee C.Y.: Representation of switching circuits by binary decision programs. Bell System Technical Journal, vol. 38, 1959, pp. 985-999
  • [217] Lee G.: Logic synthesis for cellular architecture FPGAs using BDDs. Proc. Asia and South Pacific Design Automation Conf., 1997, pp. 253-258
  • [218] Lee G., Drechsler R.: ETDD-based synthesis of term-based FPGAs for incompletely specified Boolean functions. Proc. Asia and South Pacific Design Automation Conf, 1998, pp. 75-80
  • [219] Likharev K.K.: Classical and quantum limitations on energy consumption in computation. Int. Journal of Theoretical Physics, vol. 21, 1982, pp. 311-326
  • [220] Lin C.-C., Marek-Sadowska M., Gatlin D.: On designing universal logic blocks and their application to FPGA design. IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, vol. 16, 1997, pp. 519-527
  • [221] Liu I.-M. et al.: Simultaneous PTL buffer insertion and sizing for minimizing Elmore delay. Int. Workshop on Logic Synthesis, May 1998
  • [222] Liu T.-H., Ganai M., Aziz A., Burns J.: Performance driven synthesis for pass-transistor logic. Int. Conf. on VLSI Design, Goa, India, Jan. 1999, pp. 372-377
  • [223] Loomis H.H., Wyman R.H., Jr., On complete sets of logic primitives. IEEE Trans. on Computers, vol. 14, 1965, pp. 173-174
  • [224] Lukac M., Pivtoraiko M., Mishchenko A., Perkowski M.: Automated synthesis of generalized reversible cascades using genetic algorithms. Proc. 5th Int. Workshop on Boolean Problems, Sept. 19-20, 2002, pp. 33-45
  • [225] Lukac M., Perkowski M., Goi H., Pivtoraiko M., Yu C.H., Chung, K., Jeech H., Kim B.-G., Kim Y.-D.: Evolutionary approach to quantum and reversible circuits synthesis, Artificial Intelligence Review, vol. 20, 2003, pp. 361-417
  • [226] Margolus N.: Physics and Computation, Ph. D. Thesis, Massachusetts Institute of Technology, Cambridge, Massachusetts, USA, 1988
  • [227] Markov I.L.: Introduction to reversible logic (Invited Talk). Proc. 12th IEEE/ACM Int. Workshop on Logic and Synthesis, Laguna Beach, CA, USA, May 28-30, 2003, pp. 318-319
  • [228] Maslov D.: Reversible Logic Synthesis. Pb. D. Thesis, Computer Science Dept., University of New Brunswick Fredericton, New Brunswick, Canada, Oct. 2003
  • [229] Maslov D.: Reversible Logic Synthesis Benchmark Page. www.cs.uvic.ca/~dmaslov/
  • [230] Maslov D.: Dynamic programming algorithms as reversible circuits symmetric function realization. SPIE Conf., Section OR-18 (Quantum Information and Computation), April 2004, pp. 386-393
  • [231] Maslov D., Dueck G.W.: Garbage in reversible design of multiple output functions. Proc. 6th Int. Symp. on Representations and Methodology of Future Computing Technology, Trier, Germany, March 10-11, 2003, pp. 162-170
  • [232] Maslov D., Dueck G.W.: Complexity of reversible Toffoli cascades and EXOR PLAs. Proc. 12th Int. Workshop on Post-Binary ULSI Systems, Tokyo, Japan, May 16, 2003, pp. 17-20
  • [233] Maslov D., Dueck G.W.: Asymptotically optimal regular synthesis of reversible logic networks. Proc. 12th IEEE/ACM Int. Workshop on Logic and Synthesis, Laguna Beach, CA, USA, May 28-30, 2003, pp. 226-230
  • [234] Maslov D., Dueck G.W.: Templates for Toffoli network synthesis. Proc. 12th IEEE/ACM Int. Workshop on Logic and Synthesis, Laguna Beach, CA, May 28-30, 2003, pp. 320-325
  • [235] Maslov D., Dueck G.W., Miller D.M.: Simplification of Toffoli networks via templates. 16th Symp. on Integrated Circuits and System Design, Sao Paulo, Brazil, Sept. 2003, pp. 53-58
  • [236] Maslov D., Dueck G.W., Miller D.M.: Fredkin/Toffoli templates for reversible logic synthesis, Proc. Int. Conf on Computer-Aided Design, San Jose, CA, USA, Nov. 2003, pp. 256-261
  • [237] Maslov D., Young C., Miller D.M., Dueck G. W.: Quantum circuit simplification using templates, accepted to Int. Conf. on Design Automation and Test in Europe, Munich, Germany, March 2005
  • [238] Matsuura M., Sasao T., Butler J.T., lguchi Y.: Bi-partition of shared decision diagrams, IEICE Trans. on Fundamentals, vol. E85-A, Dec. 2002, pp. 2693-2670
  • [239] Meinel C., Mubarakzjanov R.: Nonlinear sifting of decision diagrams. Proc. Int. Conf on VLSI, Las Vegas, USA, June 2002, pp. 117-123
  • [240] Meinel C., Slobodova A.: A unifying theoretical background for some BDD-based data structures. Formal Methods in System Design, vol. 11, 1997, pp. 223-237
  • [241] Meinel C., Somenzi F., Theobald T.: Linear sifting of decision diagrams. Proc. 34th Design Automation Conf., 1997, pp. 202-207
  • [242] Meinel C., Somenzi F., Theobald T.: Linear sifting of decision diagrams and its application in synthesis. IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, vol. 19, 2000, pp. 521-533
  • [243] Meinel C., Theobald T.: Local encoding transformations for optimizing OBBD-representations of fmite state machines. Proc. Conf. on Formal Methods in Computer-Aided Design, 1996, pp. 404-418
  • [244] Meinel C., Theobald T.: Ordered binary decision diagrams and their significance in computer-aided design of VLSI circuits. University of Trier, Germany, Technical Report, Nr 98-01, 1998
  • [245] Meinel C., Theobald T.: Algorithms and Data Structures in VLSI Design: OBDD - Foundations and Applications. Springer-Verlag, Berlin/Heidelberg/New York 1998
  • [246] Meinel C., Wagner A.: WWW.BDD-PORTAL.ORG. Proc. 9th IEEE/ACM Int. Workshop on Logic Synthesis, Dana Point, CA, USA, May 31-June 2, 2000, pp. 349-353
  • [247] Milburn G.J., Reversible logic and quantum computers, Physics Review Letters, vol. 62, 1989, pp. 2124-2127
  • [248] Miller D.M.: Multiple-valued logic design tools. Proc. 23rd IEEE Int. Symp. on Multiple-Valued Logic, 1993, pp. 2-11
  • [249] Miller D.M.: Spectral and two-place decomposition techniques in reversible logic. Midwest Symp. on Circuits and Systems, Aug. 2002
  • [250] Miller D.M., Drechsler R.: Implementing a multiple-valued decision diagram package. Proc. 28th IEEE Int. Symp. on Multiple- Valued Logic, 1998, pp. 52-57
  • [251] Miller D.M., Drechsler R.: On the construction of multi-valued decision diagrams. Proc. 32nd IEEE Int. Symp. on Multiple-Valued Logic, Boston, Massachusetts, 2002, pp. 245-253
  • [252] Miller D.M., Drechsler R.: Augmented sifting of multiple-valued decision diagrams. Proc. 33rd IEEE Int. Symp. on Multiple-Valued Logic, Tokyo, May 16-19, 2003, pp. 375-382
  • [253] Miller D.M., Dueck G.W.: Spectral techniques for reversible logic synthesis. Proc. 6th Int. Symp. on Representations and Methodology of Future Computmg Technology, Trier, Germany, March 10-11, 2003, pp. 56-62
  • [254] Miller D.M., Dueck G.W.: On the size of multiple-valued decision diagrams. Proc. 33rd IEEE Int. Symp. on Multiple-Valued Logic, Tokyo, Japan, May 16-19, 2003, pp. 235-240
  • [255] Miller D.M., Dueck G.W., Maslov D.: A synthesis method for MVL reversible logic. Proc. 34th IEEE Int. Symp. on Multiple-Valued Logic, Toronto, Canada, May 2004, pp. 74-80
  • [256] Miller D.M., Maslov D., Dueck G.W.: A transformation based algorithm for reversible logic synthesis. Proc. 40th Design Automation Conf., Anaheim, CA, June 2003, pp. 318-323
  • [257] Minato S.-I.: Zero-supressed BBDs for set manipulation in combinatorial problems. Proc. 30th Design Automation Conf., 1993, pp. 272-277
  • [258] Minato S.-I.: Binary Decision Diagrams and Applications for VLSI CAD. Kluwer Academic Publishers Boston/Dordrecht/London 1996
  • [259] Minnick R.C., Bailey P.T., Sanfort R.M., Semon W.L.: Magnetic bubble computer systems. Proc. AFIPS Conf, vol. 41, 1972, pp. 1279-1298
  • [260] Mishchenko A., Perkowski M.: Logic synthesis of reversible wave cascades. Proc. 11th IEEE/ACM Int. Workshop on Logic and Synthesis, New Orleans, LA, USA, June 4-7, 2002, pp. 197-202
  • [261] Moret B.M.E.: Decision trees and diagrams. ACM Computmg Surveys, vol. 14, 1982, pp. 593-623
  • [262) Mukherjee A., Sudhakar R., Marek-Sadowska M., Long S.l., Wave steering in YADDs: a novel non-iterative synthesis and layout technique. Proc. Design Automation Conference, June 1999, pp. 466-471
  • [263] Mukhopadhyay A.: Complete sets of logic primitives. In Recent Developments in Switching Theory (edited by Mukhopadhyay A.). Academic Press, London, 1971, pp. 1-26
  • [264] Murgai R., Brayton R.K., Sangiovanni-Vincentelli A.: Logic Synthesis for Field-Programmable Gate Arrays. Kluwer Academic Publishers, Boston/Dordrecht/London 1995
  • [265] Muthukrishnan A., Stroud C.R. Jr.: Multivalued logic gates for quantum computation. Physical Review A, vol. 62, 2000, pp. 052309.1-8
  • [266] Muzio J.C.: Ternary two-place functions that are complete with constants. Proc. 5th Int. Symp. on Multiple-Valued Logic, Bloomington, Ind., USA, 1975, pp. 27-33
  • [267] Nagayama S., Sasao T.: On the minimization of longest path length for decision diagrams. Proc. 13th IEEE/ACM Int. Workshop on Logic and Synthesis, Temecula, CA, USA, June 2-4, 2004, pp. 28-35
  • [268] Narayan A., Jain J., Fujita M., Sangiovanni-Vincentelli A.: Partitioned ROBDDs - a compact, canonical and efficiently manipulable representation for Boolean functions. Proc. Int. Conf. on Computer-Aided Design, Nov. 1996, pp. 547-554
  • [269] Nozaki A.: Complete sets of switching elements and related topics. Proc. 1st USA-JAPAN Computer Conf., Tokyo, Japan, 1972, pp. 393-396
  • [270] Opsahl G.I., Optimal logic modules. IEEE Trans. on Computers, vol. 21, 1972, pp. 90-96
  • [271] Panda S., Somenzi F.: Who are the variables in your neighborhood. Proc. Int. Conf on Computer-Aided Design, Nov. 1995, pp. 74-77
  • [272] Patt Y.N.: A complex logic module for the synthesis of combinational switching circuits. Proc. 30th AFIPS Spring Joint Comp. Conf., 1967, pp. 699-706
  • [273] Peres A., Reversible logic and quantum computers. Physical Review A, vol. 32, 1985, pp. 3266-3276
  • [274] Perkowski M.: Fundamental theorems and families of forms for binary and multiple-valued linearly independent logic. Proc. 2nd Workshop on Applications of the Reed-Muller Expansion in Circuit Design, 1995, pp. 288-299
  • [275] Perkowski M.: From quantum gates to quantum learning: Recent research and open problems in quantum circuits. Proc. 6th Int. Workshop on Boolean Problems, Freiberg, Germany, Sept 23-24, 2004, pp. 1-16
  • [276] Perkowski M., AI-Rabadi A., Kerntopf P.: Multiple-valued quantum logic synthesis, Proc. Int. Symp. on New Paradigms VLSI Computing, Sendai, Japan, Dec. 12-14, 2002, pp. 41-47
  • [277] Perkowski M., AI-Rabadi A., Kerntopf P., Mishchenko A., Chrzanowska-Jeske M.: Three-dimensional realization of multi-valued functions using reversible logic. Proc. 10th Int. Workshop on Post-Binary Ultra-Large-Scale Integration Systems, Warsaw, Poland, May 2001, pp. 47-53
  • [278] Perkowski M., Falkowski B., Chrzanowska-Jeske M., Drechsler R.: Efficient algorithms for creation of linearly-independent decision diagrams and their mapping to regular layouts. VLSI Design, vol. 14, 2002, pp. 35-52
  • [279] Perkowski M., Jóźwiak L., Drechsler R., Falkowski B.: Ordered and shared, linearly independent, variable-pair decision diagrams. Proc. 1st Conf. on Information, Communications and Signal Processing, 1997, pp. 261-265
  • [280] Perkowski M., Jóźwiak L., Kerntopf P., Mishchenko A., Al-Rabadi A., Coppola A., Buller A., Song X., Khan M.H.A., Yanushkevich S.N., Shmerko V.P., Chrzanowska-Jeske M.: A general decomposition for reversible logic. Proc. 5th Int. Workshop on Applications of Reed-Muller Expansion in Circuit Design, Starkville, MS, Aug. 10-11, 2001, pp. 119-138
  • [281] Perkowski M., Kerntopf P.: Reversible logic (invited tutorial). Proc. EUROMICRO Digital Systems Design Symposium, Warsaw, Poland, Sept. 2001, www.ee.pdx.edu/~mperkows/=PUBLICATIONS/=publications_2001.html
  • [282] Perkowski M., Kertnopf P., Buller A., Chrzanowska-Jeske M., Mishchenko A., Song X., Al-Aabadi A., Jozwiak L., Coppola A., Massey B.: Regularity and symmetry as a base for efficient realization of reversible logic circuits. Proc. 10th IEEE Int. Workshop on Logic and Synthesis, Granlibakken, CA, USA, June 12-15, 2001, pp. 90-95
  • [283] Perkowski M., Kerntopf P., Buller A., Chrzanowska-Jeske M., Mishchenko A., Song X., Al-Rabadi A., Jozwiak L., Coppola A., Massey B.: Regular realization of symmetric functions using reversible logic. Proc. of the EUROMICRO Symp. on Digital Systems Design, Warsaw, Poland, Sept. 4-6, 2001, pp. 245-252
  • [284] Perkowski M., Lukac M., Pivtoraiko M., Kerntopf P., Folgheraiter M., Lee D., Kim H., Hwangboo W., Kim J.-W., Cboi Y.W.: A hierarchical approach to computer-aided design of quantum circuits. Proc. 6th Int. Symp. on Representations and Methodology of Future Computing Technology, Trier, Germany, March 10-11, 2003, pp. 201-209
  • [285] Perkowski M., Miller D.M., Lee S., Hung W., Biamonte J., Allen J., Lukac M., Song X.: Binary quantum realizable multiple-valued logic. 2004, submitted
  • [286] Picton P.: Optoelectronic multi-valued conservative logic. Int. Journal of Optical Computing, vol. 2, 1991, pp. 19-29
  • [287] Picton P.: Modified Fredkin gates in logic design. Microelectronics Journal, vol. 25, 1994, pp. 437-441
  • [288] Picton P.:, Multi-valued sequential logic design using Fredkin gates. Multiple-Valued Logic Journal, vol. 1, 1996, pp. 241-251
  • [289] Picton, P.: A universal architecture for multiple-valued reversible logic. Multiple-Valued Logic Journal, vol. 5, 2000, pp. 27-37
  • [290] Poustie A.J., Blow K.J.: Demonstration of an all-optical Fredkin gate. Optics Communications, vol. 174, 2000, pp. 317-320
  • [291] Preneel B., Van Leekwijck W., Van Linden L., Govaerts R., Vandewalle J.: Propagation characteristics of Boolean functions. In: Advances in Cryptology - EUROCRYPT'90, Springer Verlag 1991, pp. 161-173
  • [292] Rahardja S., Falkowski B.J.: Fast mixed linearly independent arithmetic logic transforms for multiple-valued functions. Journal of Multiple-Valued Logic and Soft Computing, vol. 10, 2004, pp. 73-87
  • [293] Ravi K., McMillan K.L., Shiple T.R., Somenzi F.: Approximation and decomposition of binary decision diagrams. Proc. 35th Design Automation Conf., 1998, pp. 445-450
  • [294] Rayner M.R., Newton D.J.: On the symmetry of logic. Journal of Physics A: Mathematical and General, vol. 28, 1995, pp. 5623-5631
  • [295] Rosenberg, I.G.: Completeness properties of multiple-valued logic algebras. In: Computer Science and Multiple-Valued Logic. Theory and Applications (edited by Rine D.C.), NorthHolland Publishing Company, Amsterdam/New York/Oxford 1977, pp. 144-186
  • [296] Rudell R.: Dynamic variable ordering for ordered binary decision diagrams. Proc. lnt. Conf. on Computer-Aided Design, Nov. 1993, pp. 42-47
  • [297] Sack H., Dubrova E., Meinel C.: Mod-p decision diagrams: A data structure for multiple-valued functions, Proc. 30th IEEE Int. Symp. on Multiple-Valued Logic, Portland, OR, USA, May 23-25, 2000, pp. 233-238
  • [298] Sakurai T. et al.: Multiple-output shared transistor logic (MOSTL) family synthesized using BDDs. UCBIERL Technical Report M90/21, UC Berkeley, CA, USA, 1990
  • [299] Sapiecha J.: Application of hierarchical three-valued decision diagrams to accelerating fault simulation (in Polish). Ph. D. Thesis, Department of Electronics and Information Technology, Warsaw University of Technology, 1999
  • [300] Sapiecha J., Sapiecha K.: HTDD based accelerating of fault simulation. Proc. 9th European Workshop on Dependable Computing, Gdansk, Poland, 1998, pp. 43-46
  • [301] Sapiecha J.: HTDD based parallel fault simulator. Proc. 5th IEEE Int. Conf. on Electronics, Circuits and Systems, Lisboa, Portugal, 1998, vol. 2, pp. 2.217-2.220
  • [302] Sarabi A., Ho P., Iravani K., Daasch W.R., Perkowski M.A.: Minimal multi-level realization of switching functions based on Kronecker functional decision diagrams. Proc. Int. Workshop on Logic Synthesis, 1993, pp. P3a.1-6
  • [303] Sasao T. (ed.): Logic Synthesis and Optimization. Kluwer Academic Publishers Boston/London/Dordrecht 1993
  • [304] Sasao T.: FPGA design by generalized functional decomposition. In: Logic Synthesis and Optimization. Kluwer Academic Publishers Boston/London/Dordrecht 1993, pp. 233-258
  • [305] Sasao T., Ternary decision diagrams: survey. Proc. 27th IEEE Int. Symp. on Multiple-Valued Logic, 1997, pp. 241-250
  • [306] Sasao T.: Switching Theory for Logic Synthesis. Kluwer Academic Publishers, Boston/London/Dordrecht 1999
  • [307] Sasao T.: Cascade realizations of two-valued input multiple-valued output functions using decomposition of group functions. Proc. 33rd IEEE Int. Symp. on Multiple-Valued Logic, Tokyo, Japan, May 16-19, 2003, pp. 125-132
  • [308] Sasao T., Butler J.T.: Planar multiple-valued decision diagrams. Proc. 25th IEEE Int. Symp. on Multiple-Valued Logic, 1995, pp. 28-35
  • [309] Sasao T., Butler J.T.: A method to represent multiple-output switching functions by using multi-valued decision diagrams. Proc. 26th IEEE Int. Symp. on Multiple-Valued Logic, 1996, pp. 248-254
  • [310] Sasao T., Fujita M. (eds.): Representations of Discrete Functions, Kluwer Academic Publishers, Boston/London/Dordrecht 1996
  • [311] Sasao T., Kinoshita K.: A catalog of magnetic bubble logical circuits for three-variable logical functions. Technology Reports of the Osaka Univ., No. 1169, 1974, pp. 133-140
  • [312] Sasao T., Kinoshita K.: Cascade realization of 3-input 3-output conservative logic circuits. IEEE Trans. on Computers, vol. 28, 1978, pp. 214-221
  • [313] Sasao T., Kinoshita K.: Realization of minimum circuits with two-input conservative logic elements. IEEE Trans. on Computers, vol. 28, 1978, pp. 749-752
  • [314] Sasao, T., Kinoshita, K.: Conservative logic elements and their universality. IEEE Trans. on Computers, vol. 28, 1979, pp. 682-685
  • [315] Sawada H., Suyama T., Nagoya A.: Logic synthesis for look-up table based FPGAs using functional decomposition and Boolean resubstitiution. IEICE Trans. on Information and Systems, vol. E80-D, 1997, pp. 1017-1023
  • [316] Schmiedle F., Günther W., Drechsler R.: Dynamic re-encoding during MOD minimization. Proc. 30th IEEE Int. Symp. on Multiple-Valued Logic, Portland, OR, USA, May 23-25, 2000, pp. 239-244
  • [317] Scholl C.: Functional Decomposition with Applications to FPGA Synthesis. Kluwer Academic Publishers, Boston/Dordrecht/London 2001
  • [318] Scholl C., Becker B.: On the generation of multiplexer circuits for pass transistor logic. Proc. Conf. on Design Automation and Test in Europe, March 2000, pp. 372-378
  • [319] Schubert E., Kebschull U., Rosenstiel W.: Functional decision diagrams for technology mapping to look-up table FPGAs. Proc. Int. Workshop on FPGAs, 1994
  • [320] Sentovich E.M.: A brief study of BDD package performance. Lecture Notes in Computer Science, vol. 1166, 1996, pp. 3 89-403
  • [321] Shamir J., Caulfield H.J., Micelli W.: Seymour R.J., Optical computing and the Fredkin gates. Applied Optics, vol. 25, 1986, pp. 1604-1607
  • [322] Shelar R.S., Sapatnekar S. S.: BDD decomposition for the synthesis of high performance PTL circuits. Proc. 10th IEEE/ACM Int. Workshop on Logic and Synthesis, Granlibakken, CA, USA, June 12-15 2001, pp. 298-303
  • [323] Shelar R.S., Sapatnekar S.S.: Recursive bipartitioning of BDDs for performance driven synthesis of pass transistor logic circuits. Proc. Int. Conf on Computer-Aided Design, Nov. 2001 , pp. 449-452
  • [324] Shiple T., Hojati R., Sangiovanni-Vincentelli A., Brayton R.K.: Heuristic minimization of BDDs using don't cares. Proc. 31st Design Automation Conference, 1994, pp. 225-231 pp. 284-289
  • [325] Shende V.V., Prasad A.K., Markov I.L., Hayes J.P.: Reversible logic circuit synthesis. Proc. 12th IEEE/ACM Int. Workshop on Logic and Synthesis, Laguna Beach, CA, USA, May 28-30, 2003, pp. 125-130
  • [326] Shende V.V., Prasad A.K., Markov I.L., Hayes J.P.: Reversible logic circuit synthesis. Proc. Int. Conf on Computer-Aided Design, San Jose, CA, USA, Nov. 2002, pp. 125-132
  • [327] Shende V.V., Prasad A.K., Markov I.L., Hayes J.P.: Reversible logic circuit synthesis. IEEE Trans. on Computer-Aided Design of integrated Circuits and Systems, vol. 22, 2003, pp. 710-722
  • [328] Shende V.V., Prasad A.K., Markov I.L., Hayes J.P .: Scalable simplification of reversible circuits. Proc. 12th IEEE/ACM Int. Workshop on Logic and Synthesis, Laguna Beach, CA, USA, May 28-30, 2003, pp. 326-332
  • [329] Shi C.-J.R., Tan X.-D.: Canonical symbolic analysis of large analog circuits with determinant decision diagrams. IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, vol. 19, 2000, pp.1-18
  • [330] Shor P.W.: Algorithms for quantum computation: Discrete logarithms and factoring. Proc. 35th Symp. on Foudations of Computer Science, 1994, pp. 124-134
  • [331] Shor P.W.: Polynomial-time algorithms for prime factorization and discrete logarithms on a quantum computer. SIAM Journal on Computing, vol. 26, 1997, pp. 1484-1509
  • [332] Sieling D.: Lower bounds for linearly transformed OBDDs and FBDDs. Journal of Computer and System Sciences, vol. 64, 2002, pp. 419-438
  • [333] Sieling D., Wegener I.: Graph driven BDDs - a new data structure for Boolean functions. Theoretical Computer Science, vol. 141, 1995, pp. 283-310
  • [334] Sobocinski B.: On a universal decision element. Journal of Computing Systems, vol. 1, 1953, pp. 71-80
  • [335] Somenzi F.: Binary decision diagrams. In: Calculational System Design. IOS Press, Amsterdam/Berlin/Oxford/Tokyo/Washington 1999, pp. 303-366
  • [336] Somenzi F.: Efficient manipulation of decision diagrams. Int. Journal on Software Tools in Technology Transfer, vol. 3, 2001, pp. 171-181
  • [337] Somenzi F.: CUDD: CU Decision Diagram package, release 2.3.1, University of Colorado at Boulder, 2001, http://vlsi.colorado.edu/fabio/CUDD/
  • [338] Song X., Yang G., Perkowski M., Wang Y.: Algebraic characterization of reversible logic gates. Accepted to Theory of Computing Systems, 2004 (published online on Dec. 20, 2004: www.springerlink.com/index/VH2MKFF02XWM2GDB.pdf)
  • [339] Srinivasan A., Kam T., Malik S., Brayton R.K.: Algorithms for discrete function manipulation. Proc. Int. Conf. on Computer-Aided Design, Nov. 1990, pp. 92-95
  • [340] Srinivasan S., Swaminathan G., Aylor J.H.: Algebraic ATPG of combinational circuits using binary decision diagrams. Proc. European Test Conference, 1993, pp. 240-248
  • [341] Stanion T., Sechen C.: Boolean division and factorization using binary decision diagrams. IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, vol. 13, 1994, pp. 1179-1184
  • [342] Stankovic R.S.: Functional decision diagrams for multiple-valued functions. Proc. 25th IEEE Int. Symp. on Multiple-Valued Logic, Bloomington, Indiana, USA, May 23-25, 1995, pp. 284-289
  • [343] Stankovic R.S.: Spectral Transform Decision Diagrams in Simple Questions and Simple Answers. Nauka, Belgrade 1998
  • [344] Stankovic R.S., Sasao T.: Decision diagrams for discrete functions: classification and unified interpretation. Proc. Asia and South Pacific Design Automation Conf., Yokohama, Japan, Feb. 10-13, 1998, pp. 439-446
  • [345] Stankovic R.S., Stankovic M., Astola J.T., Egiazarian K.: Fibonacci decision diagrams and spectral Fibonacci decision diagrams. Proc. 30th IEEE Int. Symp. on Multiple-Valued Logic, Portland, OR, USA, May 23-25, 2000, pp. 206-211
  • [346] Stone H.S.: Universal logic modules. Ln: Recent Developments in Switching Theory. Academic Press, New York/London 1971, pp. 229-254
  • [347] Storme L., De Vos A., Jacobs G.: Group theoretical aspects of reversible logic gates. Journal of Universal Computer Science, vol. 5, 1999, pp. 307-321
  • [348] Strehl K., Thiele L.: Symbolic model checking of process networks using interval diagrams techniques. Proc. Int. Conf. on Computer-Aided Design, Nov. 1998, pp. 686-692
  • [349] Strehl K., Moraga C, Temme K.H., Stankovic R.S.: Fuzzy decision diagrams for the representation, analysis and optimization of rule bases. Proc. 30th IEEE Int. Symp. On Multiple-Valued Logic, Portland, OR, USA, May 23-25, 2000, pp. 127-132
  • [350] Strehl K., Thiele L.: Interval diagrams for efficient symbolic verification of process networks. Trans. IEEE on Computer-Aided Design of Integrated Circuits and Systems, vol. 19, 2000, pp. 939-956
  • [351] Swarninathan G., Aylor J.H., Johnson B.W.: Concurrent testing of VLSI circuits using conservative logic. Proc. Int. Conf on Computer Design, 1990, pp. 60-65
  • [352] Tachibana M.: Synthesize pass transistor logic gate by using free binary decision diagram. Proc. 10th IEEE Int. ASIC Conf., Sep. 1997, pp. 201-205
  • [353] Tafertshofer P., Pedram M.: Factored edge-valued binary decision diagrams. Formal Methods in System Design, vol. 10, 1997, pp. 243-270
  • [354] Thakur S., Wong D.F.: On Designing ULM-Based FPGA Logic Modules. Proc. 3rd Int. Symp. on FPGAs, 1995, pp. 3-9
  • [355] Thayse A.: P-functions: A new tool for the analysis and synthesis of binary programs. IEEE Trans. on Computers, vol. 30, 1981, pp. 698-705
  • [356] Thayse A.: Synthesis and optimization of programs by means of P-functions. IEEE Trans. on Computers, vol. 31, 1982, pp. 34-40
  • [357] Thayse A.: P-functions and Boolean Matrix Factorization. Springer-verlag, 1984
  • [358] Theobald T.: Transformation techniques for decision diagrams incomputer-aided design. Ph.D. Dissertation, University of Trier, Germany, 1997
  • [359] Thornton M.A,., Drechsler R., Miller D.M.: Spectral Techniques in VLSI CAD. Kluwer Academic Publishers, Boston/Dordrecht/London 2001
  • [360] Toffoli T.: Reversible computing, In: Automata, Languages and Programming (edited by de Bakker J.W. and van Leeuwen J.), Springer Verlag, 1980, pp. 632-644
  • [361] Toffoli T.: Bicontinuous extensions of invertible combinatorial functions. Mathematical Systems Theory, vol. 14, 1981, pp. 13-23
  • [362] Touati H., Savoj H., Lin B., Brayton R.K., Sangiovanni-Vincentelli A.: Implicitenumeration of finite state machines using BDDs. Proc. Int. Conf on Computer-Aided Design, Nov. 1990, pp. 130-133
  • [363] Tsai C.C., Marek-Sadowska M., Gatlin D.: Boolean functions classification via fixed-polarity Reed-Muller forms. IEEE Trans. on Computers, vol. 46, 1997, pp. 173-186
  • [364] Ubar R.: Test generation for digital circuits using alternative graphs (in Russian). Proc. Tallinn Technical University, No. 409, 1976, pp. 75-81
  • [365] Van Rentergem Y., De Vos A., Storme L.: Implementing an arbitrary reversible logic gate. Journal of Physics A: Mathematical and General, vol. 38, 2005, pp. 3555-3577
  • [366] Van Rentergem Y., DeVos A.: Reversible full adders applying Fredkin gates. Proc. 12th Int. Conf. on Mixed Design of Integrated Circuits and Systems, Cracow, Poland, June 22-25, 2005, pp. 179-184
  • [367] Yemuri N., Kalla P., Tessier R.: BDD-based logic synthesis for LUT-based FPGAs. ACM Trans. on Design Automation of Electronic Systems, vol. 7, 2002, pp. 501-525
  • [368] Wegener I.: Branching Programs and Binary Decision Diagrams. SIAM Monographs on Discrete Mathematics and Applications, Philadelphia 2000
  • [369] Yang B., Bryant R.E., O'Hallaron D.R., Biere A., Coudert O., Janssen G., Ranjan R.K., Somenzi F.: A performance study of BDD-based model checking. Formal Methods in Computer-Aided Design Conf, 1998
  • [370] Yang C., Ciesielski M.: BDS: A BDD-based logic optimization system. IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, vol. 21, 2002, pp. 866-876
  • [371] Yang C., Singhal V., Ciesielski M.: BDD decomposition for efficient logic synthesis. Proc. IEEE Int. Conf on Computer Design, Oct. 1999, pp. 626-631
  • [372] Yang G., Hung W.N.N., Song X., Perkowski M.: Majority-based reversible logic gate, Proc. 6th Int. Symp. on Representations and Methodology of Future Computing Technology, Trier, Germany, March 10-11, 2003, pp. 191-200
  • [373] Yang G., Song X., Perkowski M.: Minimal universal library for n*n reversible functions. Submitted to a journal
  • [374] Yang S.: Logic synthesis and optimization benchmarks user guide version 3.0. MCNC, January 1991
  • [375] Yano K., Sasaki Y., Rikino K., Seki K.: Top-down pass-transistor logic design. IEEE Journal of Solid-State Circuits, vol. 31, 1996, pp. 792-803
  • [376] Yen B., Denier N., Perkowski M.: Synthesis of ternary logic using generalized ternary gate cascades in a filtering model approach. Proc. 13th Int. Workshop on Post-Binary ULSI Systems, Toronto, Canada, May 19, 2004
  • [377] Young F.Y., Chu C., Wong D.F.: Generation of universal series-parallel Boolean functions. Journal of the ACM, vol. 46, 1999, pp. 416-435
  • [378] Zhuang N. et al.: PTM: Technology mapper for pass-transistor logic. IEE Proc., Part E. Computer and Digital Techniques, vol. 146, 1999, pp. 13-19
  • [379] Zilic Z., Vranesic Z.G.: Using decision diagrams to design ULMs for FPGAs. IEEE Trans. on Computers, vol. 47, 1998, pp. 971-982
  • [380] Zuliani P.: Logical reversibility, IBM Journal of Research and Development, vol. 45, 2001, pp. 807-817
Typ dokumentu
Bibliografia
Identyfikator YADDA
bwmeta1.element.baztech-article-PWA6-0019-0005
JavaScript jest wyłączony w Twojej przeglądarce internetowej. Włącz go, a następnie odśwież stronę, aby móc w pełni z niej korzystać.