PL EN


Preferencje help
Widoczny [Schowaj] Abstrakt
Liczba wyników
Tytuł artykułu

Projektowanie analogowych układów scalonych CMOS o strukturze sieci neuronowej do przetwarzania obrazów i sygnałów

Autorzy
Identyfikatory
Warianty tytułu
EN
Designing CMOS analog integrated circuits to implement neural networks for image and signal processing
Języki publikacji
PL
Abstrakty
PL
W monografii przedstawiono wyniki prac autora dotyczące projektowania i testowania prototypowych, analogowych układów scalonych CMOS, odpowiednich do neuronowego przetwarzania obrazów i sygnałów, na przykładzie trzech zaprojektowanych i przetestowanych układów scalonych. Układy zostały wykonane przez konsorcjum Europractice w różnych technologiach CMOS, tj. 2,4 μm, 0,8 μm oraz 0,35 μm W zaprojektowanych układach oprócz właściwej sieci neuronowej implementowano specjalne struktury testowe, które umożliwiły wykonanie pomiarów podstawowych bloków funkcjonalnych sieci. Pozwoliło to na porównanie wyników symulacji z pomiarami oraz na uzyskanie informacji wykorzystanych do budowy stanowiska do testowania poprawności działania wykonanych układów scalonych. Dla każdego układu zaprojektowano specjalne stanowisko pomiarowe, które umożliwiło weryfikację doświadczalną działania danej sieci neuronowej. Pierwszym prezentowanym układem scalonym jest sieć Kohonena, dedykowana do zadań identyfikacji parametrów układów dynamicznych, przetwarzająca dane w sposób analogowy. Przedstawiono architekturę układu realizującego sieć, jego implementację w technologii MIETEC 2,4 μm oraz wyniki pomiarów podstawowych bloków funkcjonalnych sieci. Drugim zaprezentowanym układem scalonym jest filtr ważonych statystyk porządkowych obrazu o architekturze sieci neuronowej komórkowej, zaprojektowany w technologii AMS 0,8 μm CYE. Omówiono model komórki tego filtru oraz jego architekturę. Podano też szczegółowy opis bloków funkcjonalnych wchodzących w skład filtru oraz wyniki badań eksperymentalnych. Ostatnią część monografii stanowi projekt sieci neuronowej zbudowanej z synchronizowanych oscylatorów, służącej do segmentacji obrazów binarnych. W pracy zaproponowano nowy model oscylatora oraz architekturę układu scalonego realizującego sieć. Przedstawiono również projekt układu scalonego wykonanego w technologii AMIS 0,35 μm C035M-D 5M/1P i wyniki pomiarów.
EN
This monograph summarizes Author's research in the field of designing and testing CMOS prototype analog-integrated-circuit neural networks for image and signal processing. Three chips are presented which implement three various types of neural networks. The circuits have been designed using different CMOS technologies offered by Europractice, i.e. 2,4 μm, 0,8 μm and 0,35 μm ones. Apart from a main neural network, special test structures have been implemented in the circuits. The test structures enable the neural-network basic building blocks to be measured. This allows us to compare simulation with measurement results and provides some information needed for proper designing the integrated-circuit functional-test set-up. A special test set-up has been realized for each integrated circuit to perform functional verification of a given neural network. The first ASIC circuit considered in this monograph is a Kohonen network, operating with analog signals, dedicated for estimation of dynamic-system parameters. Architecture of the circuit, its implementation in the MIETEC 2,4 μm technology, as well as measurement results has been presented. The second integrated circuit presented in the monograph is a filter, based on a cellular neural network architecture, suitable for weighted-order-statistic image processing. It has been designed in the AMS 0,8 μm CYE technology. The filter cell model and structure have been described. Detailed description of its basic building blocks and the chip test results have been shown. The final part of this monograph is a description of a synchronized-oscillators-based neural network implemented in an ASIC form, which is well suited for binary-image-segmentation tasks. A new oscillator model and architecture of the designed circuit have been proposed. The AMIS 0,35 μm C035M-D 5M/1P technology has been used. Design, simulation and measurement results have been presented as well.
Rocznik
Tom
Strony
1--135
Opis fizyczny
Bibliogr. 165 poz.
Twórcy
autor
  • Instytut Elektroniki Politechniki Łódzkiej
Bibliografia
  • Ando H., Morie T., Nagata M., Iwata A., A nonlinear oscillator network for gray-level image segmentation in PWM/PPM circuits for its VLSI implementation, IEICE Trans. Fundamentals Electron., Commn. Comput. Sci., E83A, pp. 329-336, 2000.
  • Asai T., Kanazawa Y., Amemiya Y., A Subthreshold MOS Neuron Circuit Based on the Volterra System, IEEE Transactions on Neural Networks, Special Issue on Neural Networks Hardware Implementations, Vol. 14, No. 5, pp. 1308-1312, September 2003.
  • Aunet S., Berg Y., Saether T., Real-Time Reconfigurable Linear Threshold Elements Implemented in Floating-Gate CMOS, IEEE Transactions on Neural Networks, Special Issue on Neural Networks Hardware Implementations, Vol. 14, No. 5, pp. 1244-1256, September 2003.
  • Baktir I.A., Tan M.A., Analog CMOS Implementation of Cellular Neural Networks, IEEE Trans. on Circuits and Systems - II: Analog and Digital Signal Processing, Vol. 40, No. 3, pp.200-206, March 1993.
  • Beiu V., Quintana J. M., Avedillo M. J., VLSI Implementation of Threshold Logic – A Comprehensive Servey, IEEE Transactions on Neural Networks, Special Issue on Neural Networks Hardware Implementations, Vol. 14, No. 5, pp. 1217-1243, September 2003.
  • Bult K., Wallinga H., A Class of Analog CMOS Circuits Based on the Square-Law Characteristic of an MOS Transistor in Saturation, IEEE Journal of Solid-State Circuits, Vol. 22, No. 3, 357-365, June 1987.
  • Cardarilli G.C., Sargeni F., Very efficient VLSI implementation of CNN with discrete templates, Electronics Letters, Vol. 29, No. 14, pp. 1286-1287, 8 July 1993.
  • Çesmeli E., Wang D., Motion segmentation Based on Motion/Brightness Integration and Oscillatory Correlation, IEEE Trans. on Neural Networks; Vol. 11, No. 4, pp. 935-947, 2000.
  • Çesmeli E., Wang D., Texture Segmentation Using Gaussian-Markov Random Fields and Neural Oscillator Networks, IEEE Trans. on Neural Networks; Vol. 12, No. 2, pp. 394-404, 2001.
  • Chen C.L. and Hsiao J., VLSI implementation of selective median filter, IEEE on Consumer Electronics, Vol. 42, No. 1, pp. 33-42, February 1996.
  • Chicca E., Badoni D., Dante V., D’Andreagiovanni M., Salina G., Carota L., Fusi S., Del Guidice P., A VLSI Recurrent Network of Integrate-and-Fire Neurons Connected by Plastic Synapses With Long-Term Memory, IEEE Transactions on Neural Networks, Special Issue on Neural Networks Hardware Implementations, Vol. 14, No. 5, pp. 1297-1307, September 2003.
  • Christopher L.A., Mayweather W.T., Perlman S.S., A VLSI median filter for impulse noise elimination in composite or component TV signals, IEEE on Consumer Electronics, Vol. 34, pp. 262-267, Feb. 1988.
  • [a] Chua L.O. and Yang L., Cellular Neural Networks: Theory, IEEE Trans. on Circuits and Systems, Vol. 35, pp. 1257-1272, October 1988.
  • [b] Chua L.O. and Yang L., Cellular Neural Networks: Applications, IEEE Trans. on Circuits and Systems, Vol. 35, pp. 1273-1290, October 1988.
  • Chua L.O., Roska T., The CNN Universal Machine, Part 1: The Architecture, CNNA 1992, pp. 1-10, 1992.
  • Ciota Z., Teoria i praktyczna realizacja analogowych filtrów scalonych ze szczególnym uwzględnieniem filtrów o skończonej odpowiedzi impulsowej, Politechnika Łódzka, Zeszyty Naukowe Nr 757, Rozprawy Naukowe, Z. 231, Łódź 1996.
  • Cosp J., Madrenas J., Scene Segmentation Using Neuromorphic Oscillatory Networks, IEEE Trans. on Neural Networks; Vol. 14, No. 5, pp. 1278-1296, 2003.
  • Cosp J., Madrenas J., Alarcón E., Vidal E., Villar G., Synchronization of Nonlinear Electronic Oscillators for Neural Computation, IEEE Trans. on Neural Networks; Vol. 15, No. 5, pp. 1315-1327, 2004.
  • Cruz J.M., Chua L.O., A CNN Chip for Connected Component Detection, IEEE Transactions on Circuits and Systems, Vol. 38, No. 7, pp. 812-817, July 1991.
  • Culurciello E., Andreou A.G., A Comparative Study of Access Topologies for Chip-Level Address-Event Communication Channels, IEEE Transactions on Neural Networks, Special Issue on Neural Networks Hardware Implementations, Vol. 14, No. 5, pp. 1266-1277, September 2003.
  • Diaz-Sanchaz A., Ramirez-Angulo J., Lopez A. and Sanchez-Sinencio E., A Parallel Analog Median Filter, IEEE International Conference on Electronics, Circuits and Systems, Vol. 1, Lisboa, Portugal, 7-10, pp. 381- 384, September 1998.
  • Dietz P.H., Carley L.R., Simple Networks for Pixel plane median filtering, IEEE Trans. Circuits and Systems-II: Analog and Digital Signal Processing, Vol. 40, pp. 799-801, Dec. 1993.
  • Długosz R., Talaśka T., Dalecki J., Wojtyna R., Experimental Kohonen Neural Network implemented in the CMOS 0.18um technology, International Conference Mixed Design of Integrated Circuits and Systems (MIXDES), Poznań, 2008.
  • Domingúez-Castro R., Espejo S., Rodrígúez-Vázquez A., Carmona R., A CNN Universal Chip in CMOS Technology, Proceedings of the Third IEEE International Workshop on Cellular Neural Networks and their Applications, pp. 91-96, 18-21 Dec. 1994.
  • Domínguez-Castro R., Espejo S., Rodríguez-Vázquez A., Carmona R., Foldesy P., Zarándy A., Szolgay P., Sziranyi T., Roska T., A 0.8 ?m CMOS Programmable Mixed-Signal Focal-Plane Array Processor with On-Chip Binary Imaging and Instructions Storage, IEEE Journal of Solid State Circuits, Vol. 32, No. 7, pp. 1013-1026, July 1997.
  • Eckhorn R., Bauer R., Jordan W., Brosch M., Kruse W., Munk M., Retbock H.J., Coherent oscillations: a mechanism of feature linking in the visual cortex, Biol. Cyber, Vol. 60, pp. 265-280, 1988.
  • Espejo S., Domingúez-Castro R., Rodrígúez-Vázquez A., Realization of a CNN universal chip in CMOS technology, IEEE International Symposium on Circuits and Systems, Vol.1, pp. 657-659, 30 Apr-3 May 1995.
  • [a] Espejo S., Carmona R., Domingúez-Castro R., Rodrígúez-Vázquez A., CNN Universal Chip in CMOS Technology, International Journal of Circuit Theory and Applications, Special Issue on CNN II: Part I, Vol. 24, pp. 93-111, 1996.
  • [b] Espejo S., Carmona R., Domínguez-Castro R., Rodríguez-Vázquez A., A 0.8 ?m CMOS Programmable Analog-Array-Processing Vision-Chip with Local Logic and Image-Memory, Proceedings of ESSCIRC'96, pp. 280-283, 1996.
  • Fang W.C., Sheu B.J., Chen O.T.C., Choi J., A VLSI Neural Processor for Image Data Compression Using Self-Organization Networks, IEEE Trans. on Neural Networks, Vol. 3, No. 3, pp. 506-517, May 1992.
  • Fikos G., Vlassis S., Siskos S., High-speed, accurate analogue CMOS rank filter, Electronics Letters, Vol. 36, No. 7, pp. 593-594, 30th March 2000.
  • Galan R.C., Jimenez-Garrido F., Dominguez-Castro R., Espejo S., Roska T., Rekeczky C., Petras I., Rodriguez-Vazquez A., A Bio-Inspired Two-Layer Mixed-Signal Flexible Programmable Chip for Early Vision, IEEE Transactions on Neural Networks, Special Issue on Neural Networks Hardware Implementations, Vol. 14, No. 5, pp. 1313-1336, September 2003.
  • Gatet L., Tap-Béteille H., Bony F., Comparison Between Analog and Digital Neural Network Implementations for Range-Finding Applications, IEEE Transactions on Neural Networks, Vol. 20, No. 3, pp. 460-470, March 2009.
  • Gołda A., Kos A., Projektowanie układów scalonych CMOS, Wydawnictwo Komunikacji i Łączności, Warszawa, 2010.
  • Gopalan A., Titus A.H., A New Wide Range Euclidean Distance Circuit for Neural Network Hardware Implementation, IEEE Transactions on Neural Networks, Special Issue on Neural Networks Hardware Implementations, Vol. 14, No. 5, pp. 1176-1186, September 2003.
  • Harrer H., Nossek J.A., Stelzt R., An Analog Implementation of Discrete-Time Cellular Neural Networks, IEEE Trans. on Neural Networks, Vol.3, pp. 446-477, 1992.
  • Harrer H., Nossek J.A., Roska T., Chua L.O., A current-mode DTCNN Universal Chip, Proceedings of ISCAS, pp. 135-138, 1994.
  • Hertz J., Krogh A., Palmer R.G., Wstęp do obliczeń neuronowych, Wydawnictwa Naukowo-Techniczne, Warszawa 1995.
  • Hirose A., Nakazawa K., Analog Recurrent Decision Circuit With High Signal-Voltage Symmetry and Delay-Time Equality to Improve Continuous-Time Convergence Performance, IEEE Transactions on Neural Networks, Special Issue on Neural Networks Hardware Implementations, Vol. 14, No. 5, pp. 1201-1206, September 2003.
  • Horio Y., Aihara K., Yamamoto O., Neuron-Synapse IC Chip-Set for Large-Scale Chaotic Neural Networks, IEEE Transactions on Neural Networks, Special Issue on Neural Networks Hardware Implementations, Vol. 14, No. 5, pp. 1393-1404, September 2003.
  • Hoseini P., Mashoufi B., Circuit Design of Weighted Order Stastistics Filter Based on Neural Network in CMOS Process, International Conference on Electronic Devices, Systems and Applications, pp. 174-178, 2010.
  • Hu Y., Hwang J. (ed.), Handbook of Neural Network Signal Processing, CRC Press, 2002.
  • Huertas J.L., Rodriguez-Vazquez A. and Espejo S., Analog VLSI Implementation of Cellular Neural Networks, Second International Workshop CNNA'92, Monachium, Niemcy, pp. 141-150, listopad 1992.
  • Jirak D., Kriz J., Strzelecki M., Yang J., Hasilo C., White D.J., Foster P.J., Monitoring the survival of islet transplants by MRI using a novel technique for their automated detection and quantification, MAGMA, PMID: 19390886, 24 Apr. 2009.
  • Kacprzak T., Ślot K., Sieci neuronowe komórkowe, PWN, Warszawa 1995.
  • Kameda S., Yagi T., An Analog VLSI Chip Emulating Sustained and Transient Response Channels of the Vertebrate Retina, IEEE Transactions on Neural Networks, Special Issue on Neural Networks Hardware Implementations, Vol. 14, No. 5, pp. 1405-1412, September 2003.
  • Kinget P., Steyaert M.S.J., A Programmable Analog Cellular Neural Network CMOS Chip for High Speed Image Processing, IEEE Journal of Solid-State Circuits, Vol. 30, No. 3, pp. 235-243, March 1995.
  • Kinget P., Steyaert M., Analog VLSI Integration of Massive Parallel Processing Systems, Ed. Kluwer Academic Publishers, 1996.
  • Korbel P., Wykorzystanie sieci neuronowych komórkowych i modeli deformowalnych do rozpoznawania obiektów dwuwymiarowych, Rozprawa Doktorska, Politechnika Łódzka, Instytut Elektroniki, Łódź 2006.
  • Korbicz J., Obuchowicz A., Uciński D., Sztuczne sieci neuronowe. Podstawy i zasto-sowania, Akademicka Oficyna Wydawnicza PLJ, Warszawa 1994.
  • Kozieł S., Szczepański S., Dynamic range comparison of voltage-mode and current-mode state-space Gm-C biquad filters, The 8th IEEE International Conference on Electronics, Circuits and Systems - ICECS 2001, Vol. 2, pp. 819-822, 2001.
  • Kozieł S., Szczepański S., Dynamic range comparison of voltage-mode and current-mode state-space Gm-C biquad filters in reciprocal structures, IEEE Transactions on Circuits and Systems I: Fundamental Theory and Applications, Vol. 50, No. 10, pp. 1245-1255, Oct. 2003.
  • Kuźmicz W., Projektowanie analogowych układów scalonych, Wydawnictwo Naukowo-Techniczne, Warszawa 1985.
  • Laiho M., Poikonen J., Virta P., Paasio A., A 64x64 cell mixed-mode array processor prototyping system, 11th International Workshop on Cellular Neural Networks and Their Applications, pp. 1-1, Santiago de Compostela, Hiszpania, 14-16 lipca 2008.
  • Laiho M., Poikonen J., Paasio A., Space-dependent binary image processing within a 64x64 mixed-mode array processor, European Conference on Circuit Theory and Design, pp. 189-192, 23-27 sierpnia 2009.
  • [a] Linán G., Foldesy P., Espejo S., Domínguez-Castro R., Rodríguez-Vázquez A., A 0.5 ?m CMOS 106 Transistors Analog Programmable Array Processor for Real-Time Image Processing, Proc. of the 25th European Solid-State Circuits Conference, pp. 358-361, Duisburg-Germany, Sept. 1999.
  • [b] Linán G., Domínguez-Castro R., Espejo S., Rodríguez-Vázquez A., Design of a Large-Complexity Analog I/O CNNUC, ECCTD’99 Design Automation Day, September 1999.
  • Linán G., Espejo S., Domínguez-Castro R., Rodríguez-Vázquez A., The CNNUC3: An Analog I/O 64 x 64 CNN Universal Machine with 7-bit Analog Accuracy, IEEE 2000 Int. Workshop on Cellular Neural Networks and Their Applications, pp. 201-206, Catania, 2000.
  • Linares-Barranco B., Serrano-Gotarredona T., Serrano-Gotarredona R., Compact Low-Power Calibration Mini-DACs for Neural Array With Programmable Weights, IEEE Transactions on Neural Networks, Special Issue on Neural Networks Hardware Implementations, Vol. 14, No. 5, pp. 1207-1216, September 2003.
  • Linsay P., Wang D., Fast numerical integration of relaxation oscillator networks based on singular limit solutions, IEEE Trans. on Neural Networks, Vol. 9, No. 3, 523-532, 1998.
  • Makowski P., Pełczyński P., Romaniuk P., “Signal Acquisition and Classification Modules for Model Parameter Identification System, Proc. of Int. Conference MIXDES’98, Łódź, Poland, pp. 375-379, 1998.
  • [a] Materka A., Nowa metoda identyfikacji parametrów układu dynamicznego za pomocą sztucznej sieci neuronowej, Kwartalnik Elektroniki i Telekomunikacji, Vol. 41, Z. 1, pp. 47-65, 1995.
  • [b] Materka A., Parametric Fault Identification in Mixed-Signal Circuits Using Feedforward Neural Networks, 2nd Advanced Training Course MIXED DESIGN OF VLSI CIRCUITS, Kraków, pp. 84-89, 1995.
  • [c] Materka A., Modular Neural Network Architecture for Accurate Estimation of Dynamical System Parameters, XVIII KTOiUE, Polana-Zgorzelisko, pp. 635-640, 1995.
  • [d] Materka A., New Technique for Analog Circuit Parameter Identification Using Neural-Network-Based Approximators, XVIII KTOiUE, Polana-Zgorzelisko, pp. 407-412, 1995.
  • Materka A., Mizushina S., Parametric Signal Restoration Using Artificial Neural Networks, IEEE Transactions on Biomedical Engineering, Vol. 43, No. 4, pp. 357-372, April 1996.
  • Materka A., System Parameter Estimation Using Neural-Network-Like Approximators of Multivariable Functions, System Analysis-Modelling-Simulation, Gordon and Breach Publishers, Vol. 24, pp. 107-120, 1996.
  • Materka A., Pełczynski P., Strzelecki M., Design of A Mixed-Signal ANN Prototype System for Model Parameter Identification, 6th Advanced Training Course MIXDES '97, Poznań, pp. 463-469, czerwiec 1997.
  • Materka A., Modular Artificial Neural Network for Model Parameter Identification , 6th Advanced Training Course MIXDES '97, Poznań, pp. 469-474, czerwiec 1997.
  • [a] Materka A., Pełczyński P., Strzelecki M., Artificial Neural Network Mixed-Signal Prototype System for Model Parameter Identification, Mixed Design of Integrated Circuits and Systems, A. Napieralski et al. (Eds.), Kluwer Academic Publishers, pp. 97-102, 1998.
  • [b] Materka A., Pełczyński P., Strzelecki M., Artificial Neural Network Mixed-Signal Prototype System for Model Parameter Identification, Kwartalnik Elektroniki i Telekomunikacji, Vol. 44, Z. 3, pp. 373-390, 1998.
  • Materka A., Strzelecki M., Observation Domain Partitioning by Means of Perceptron-like ANNs in Real-Time Parameter Estimation Systems, 9-th International Symposium System-Modelling-Control, Zakopane, Poland, 6 pages, on CD-ROM, 1998.
  • Materka A., Strzelecki M., Observation Domain Partitioning by Means of ANNs in Real-Time Parameter Estimation Systems, Computational Intelligence and Applications, P. S. Szczepaniak (ed.), pp. 234-243, 1999.
  • Materka A., Analogowo-cyfrowa sieć neuronowa do identyfikacji parametrów układów dynamicznych, Prace Naukowe Elektronika, Z. Korzec, M. Strzelecki (eds.), Vol. 4, pp. 81-100, 1999.
  • Milev M., Hristov M., Analog Implementation of ANN With Inherent Quadratic Nonlinearity of the Synapses, IEEE Transactions on Neural Networks, Special Issue on Neural Networks Hardware Implementations, Vol. 14, No. 5, pp. 1187-1200, September 2003.
  • Nakada K., Asai T., Amemiya Y., An Analog CMOS Central Pattern Generator for Interlimb Coordination in Quadruped Locomotion, IEEE Transactions on Neural Networks, Special Issue on Neural Networks Hardware Implementations, Vol. 14, No. 5, pp. 1356-1365, September 2003.
  • Navas-Gonzales de J.R., Vidal-Verdu F., Rodriguez-Vazquez A., Neuro-Fuzzy Chip to Handle Complex Tasks With Analog Performance, IEEE Transactions on Neural Networks, Special Issue on Neural Networks Hardware Implementations, Vol. 14, No. 5, pp. 1375-1392, September 2003.
  • Ng H.S., Lam K.P., Analog and Digital FPGA Implementation of BRIN for Optimization Problems, IEEE Transactions on Neural Networks, Special Issue on Neural Networks Hardware Implementations, Vol. 14, No. 5, pp. 1413-1425, September 2003.
  • Opris I.E., Kovacs G.T.A., A High-Speed Median Circuit, IEEE Journal of Solid-State Circuits, Vol. 32, No. 6, pp. 905-908, June 1997.
  • Opris I.E., Analog rank extractors, IEEE Transactions on Circuits and Systems I: Fundamental Theory and Applications, Vol. 44, Issue 12 , pp. 111 –1121, Dec. 1997.
  • Osowski S., Sieci neuronowe, Oficyna Wydawnicza Politechniki Warszawskiej, Warszawa 1994.
  • Osowski S., Sieci neuronowe w ujęciu algorytmicznym, Wydawnictwa Naukowo-Techniczne, Warszawa 1996.
  • Osowski S., Sieci neuronowe do przetwarzania informacji, Oficyna Wydawnicza Politechniki Warszawskiej, Warszawa 2000.
  • Paasio A., Dawidziuk A., Halonen K., Porra V., Minimum Size 0.5 ?m CMOS Programmable 48 x 48 CNN Test Chip, Proc. of the 1997 European Conference on Circuit Theory and Design, pp. 154-156, Budapest, Hungary, September 1997.
  • Paasio A., Kananen A., Halonen K., Porra V., A 48 by 48 CNN chip operating with B/W images, IEEE International Conference on Electronics, Circuits and Systems, Vol. 1, pp. 191-194, Lisboa, 1998.
  • Paasio A., Halonen K., An analogue circuit for weighted rank order filtering, European Conference on Circuit Theory and Design, Espoo, Finland, pp. I-125-I-128, 28-31 August 2001.
  • Pelgrom M.J., Duinmaijer A.C.J., Welbers A.P.G., Matching Properties of MOS Transistors, IEEE Journal of Solid-State Circuits; Vol.24, No.5, pp. 1433-1439, October 1989.
  • Pełczynski P., Materka A., Romaniuk P., Performance Investigation of a Hardware-Implemented Classifier-Approximator Neural Network, 4th Conf. Neural Networks and Their Applications, Zakopane, pp. 475-480, maj 1999.
  • Pitas I., Venetsanopoulos A.N., Nonlinear Digital Filters, Boston: Kluver Academic Publishers, 1990.
  • Poikonen J., Paasio A., Implementing Grayscale Morphological Operators with a Compact Ranked Order Extractor Circuit, Proceedings of 7-th IEEE International Workshop on Cellular Neural Networks and their Applications: Nonlinear Information Processing and Intelligent Sensors - CNNA-2002, Johann Wolfgang Goethe - University, Frankfurt/Main, Germany, pp. 646-653, 22-24 July 2002.
  • Poikonen J., Paasio A., An 8x8 Cell Analog Order-Statistic-Filter Array With Asynchronous Grayscale Morphology in 0.13 ?m CMOS, IEEE Transactions on Circuits and Systems- I: Regular Papers, Vol. 56, No. 8, pp. 1541-1553, Sierpień 2009.
  • Rodriguez-Vazquez A., Linan-Cembrano G., Carranza L., Roca-Moreno E., Carmona-Galan R., Jimenez-Garrido F., Dominguez-Castro R., Meana S. E., ACE16k: The Third Generation of Mixed-Signal SIMD-CNN ACE Chips Toward VSoCs, IEEE Transactions on Circuits and Systems - I: Regular Papers, Vol. 51, No. 5, pp. 851-863, Maj 2004.
  • Romaniuk P., Strumiłło P., Materka A., Strzelecki M., Electrical Properties and Post-Manufacture Optimization of Analog VLSI Kohonen Classifier, 4th Conf. Neural Networks and Their Applications, Zakopane, pp. 481-486, maj 1999.
  • Roska T., Chua L.O., The CNN Universal Machine, Part II: Programmability and Applications, CNNA 1992, pp. 181-190, 1992.
  • Roska T., Chua L.O., The CNN Universal Machine: an Analogic Array Computer, IEEE Trans. on Circuits and Systems, Vol. 40, pp. 163-173, March 1993.
  • Ruikang Yang L.Y., Gabbouj M., Neuvo Y., Weighted Median Filters: A Tutorial, IEEE Trans. Circuits and Systems-II: Analog and Digital Signal Processing, Vol. 43, No. 3, pp. 157-192, March 1996.
  • Rutkowski L., Sieci neuronowe i neurokomputery, Wydawnictwo Politechniki Częstochowskiej, Częstochowa 1996.
  • Sakurai T., Newton A.R., A simple MOSFET model for Circuit Analysis, IEEE Trans. on Electron Devices; Vol. 38, No. 4, pp. 887-893, 1991.
  • Salerno M., Sargeni F., Bonaiuto V., Taraglio S., Zanela A., A new board for CNN stereo vision algorithm, The 2000 IEEE International Symposium on Circuits and Systems, Vol.3, pp. 702-705, Geneva, 28-31 May 2000.
  • Seevinick E., Wassenaar R.F., A Versatile CMOS Linear Transconductor/Square-Law Function Circuit, IEEE Journal of Solid-State Circuits, Vol. 22, No. 3, pp. 366-377, June 1987.
  • Serrano-Gotarredona T., Linares-Barranco B., Log-Domain Implementation of Complex Dynamics Reaction-Diffusion Neural Networks, IEEE Transactions on Neural Networks, Special Issue on Neural Networks Hardware Implementations, Vol. 14, No. 5, pp. 1337-1355, September 2003.
  • Shareef N., Wang D., Yagel R., Segmentation of Medical Images Using LEGION, IEEE Trans. on Med. Imaging; Vol. 18, No. 1, pp. 74-91, 1999.
  • Shi B.E., Order Statistic Filtering with Cellular Neural Networks, CNNA-94 Third IEEE International Workshop on Cellular Neural Networks and their Applications, Rome, Italy, pp. 441-443, 18-21 December 1994.
  • Strzelecki M., Materka A., Sygut J., Zalewska A., Digital Image Processing Methods For Morphological Characterization Of Mast Cells In Selected Skin Diseases, Image Processing & Communications, Vol. 5, No. 1, pp. 45-56, 1999.
  • Strzelecki M., Liberski P., Zalewska A.: Segmentation of Mast Cell Images Using network of Synchronised Oscillators, Proc. of the Int. Conf. of Informatics for Health Care, Lithuania, pp. 81-88, 19-20 Sept. 2002.
  • [a]Strzelecki M., Pattern Recognition Using Network of Synchronised Oscillators, Int. Proc. of Int. Conf. of Computer Vision and Graphics, Vol. 2, Zakopane, pp. 716-721, 25-29 September 2002.
  • [b]Strzelecki M., Segmentation of MRI trabecular-bone images using network of synchronized oscillators, Machine Graphics & Vision; Vol. 11, No. 1, pp. 77-100, 2002.
  • [a]Strzelecki M., Texture boundary detection using network of synchronized oscillators, Electron. Lett., Vol. 40, No. 8, pp. 466-467, 2004.
  • [b]Strzelecki M., Segmentacja tekstury obrazów z wykorzystaniem neuronowych sieci oscylacyjnych i metod statystycznych, Politechnika Łódzka, Zeszyty Naukowe Nr 949, Rozprawy Naukowe, Z. 336, Łódź 2004.
  • Strzelecki M., Materka A., Drozdz J., Krzeminska-Pakula M., Kasprzak J.D., Classification and segmentation of intracardiac masses in cardiac tumor echocardiograms, Computerized Medical Imaging and Graphics, Vol. 30, No. 2, pp. 95-107, 2006.
  • Ślot K., Sieci neuronowe komórkowe: efektywne narzędzia przetwarzania informacji obrazowej“, Politechnika Łódzka, Zeszyty Naukowe Nr 819, Rozprawy Naukowe, Z. 257, Łódź 1999.
  • Szczepański S., Pankiewicz B., Jakusz J., A Four-Quadrant Multiplier For Application in Analog Neural Networks, XVIII-th National Conference Circuits Theory and Electronic Networks, Polana Zgorzelisko, Poland, pp. 653-658, 25-28 Oct. 1996.
  • Tadeusiewicz R., Sieci neuronowe, Akademicka Oficyna Wydawnicza RM, Warszawa, 1993.
  • Talaśka T., Wojtyna R., Długosz R., Iniewski K., Implementation of conscience mechanism for Kohonen’s neural network in CMOS 0.18?m technology, Proceedings of Mixed Design of Integrated Circuits and Systems – MIXDES’2006, pp. 310-315, Gdynia, 22-24 June 2006.
  • Talaśka T., Długosz R.R., Wojtyna R., Current mode analog Kohonen neural network, International Conference Mixed Design of Integrated Circuits and Systems (MIXDES), Ciechocinek 2007.
  • Thompson C.D., The VLSI complexity of sorting, IEEE Trans. Comput., Vol. C-32, pp. 1171-1183, Dec. 1983.
  • Varrientos J.E., Sanchez-Sinencio E., Ramirez-Angulo J., A Current-Mode Cellular Neural Network Implementation, IEEE Transactions on Circuits and Systems-II: Analog and Digital Processing, Vol. 40, No. 3, pp.147-155, March 1993.
  • Veni S., Yamuna B., Hardware implementation of CNN, Proceedings of 2005 International Conference on Intelligent Sensing and Information Processing, pp. 320-325, 4-7 Jan. 2005.
  • Von der Malsburg C., Schneider W., A neural cocktail-party processor, Biol. Cybern, Vol. 54, pp. 29-40, 1986.
  • Wang D. Terman D., Locally excitatory globally inhibitory oscillators network, IEEE Trans. on Neural Networks, Vol. 6, No. 1, pp. 283-286, 1995.
  • Wang D., Terman D., Image segmentation based on oscillatory correlation, Neural Computation, Vol. 9, pp. 805-836, 1997.
  • Wang Z., A CMOS Four-Quadrant Analog Multiplier with Single-Ended Voltage Output and Improved Temperature Performance, IEEE Journal of Solid-State Circuits, Vol. 26, No. 9, pp. 1293-1301, September 1991.
  • Wawryn K., Układy z przełączanymi prądami, Wydawnictwa Naukowo-Techniczne, Warszawa 1997.
  • Wilamowski B.M., Układy scalone. Budowa, działanie i technologia, Wydawnictwa Komunikacji i Łączności, Warszawa 1989.
  • Wojtyna R., Generatory CMOS drgań harmonicznych pracujące w trybie prądowym, Akademia Techniczno-Rolnicza im. Jana i Jędrzeja Śniadeckich w Bydgoszczy, Rozprawy Nr 73, Bydgoszcz 1996.
  • Wojtyna R., Current-mode analog memory with extended storage time for hardware-implemented neural networks, Elektronika – konstrukcje, technologie, zastosowania. Miesięcznik naukowo-techniczny Stowarzyszenia Elektryków Polskich, Nr 3, pp. 34-38, 2009.
  • Wojtyna R., Analog signal processing suited for neural-network hardware implementation, Elektronika – konstrukcje, technologie, zastosowania. Miesięcznik naukowo-techniczny Stowarzyszenia Elektryków Polskich, Nr 3, pp. 97-101, 2010.
  • Yamasaki T., Shibata T., Analog Soft-Pattern-Matching Classifier Using Floating-Gate MOS Technology, IEEE Transactions on Neural Networks, Special Issue on Neural Networks Hardware Implementations, Vol. 14, No. 5, pp. 1257-1265, September 2003.
  • Yang L., Chua L.O., Krieg K.R., VLSI Implementation of Cellular Neural Networks, Proceedings of IEEE ISCAS, Vol. 3, pp. 2425-2427, Maj 1990.
  • Zalewska A., Strzelecki M., Janowski P., Brzezińska-Błaszczyk E., Computer analysis of normal and basal cell carcinoma mast Wells, Medical Science Monitor, Vol. 7, No. 2, pp. 260-265, 2001.
  • Literatura – prace autorskie i współautorskie dotyczące tematyki monografii
  • Kowalski J., Analiza projektowa reprogramowalnych sieci neuronowych komórkowych w technice półprzewodnikowych układów analogowych CMOS wielkiej skali integracji, Rozprawa Doktorska, Politechnika Łódzka, Instytut Elektroniki, Łódź 1998.
  • Kowalski J., Kacprzak T., Ślot K., VLSI Implementation of Analog Image Median Filter with Average Filter Option Based on Cellular Neural Network Architecture, Proceedings of XXI National Conference on Circuit Theory and Electronic Networks, Vol. 2, Poznań - Kiekrz, Poland, pp.643-648, 22-24 October 1998.
  • Kowalski J., Strzelecki M., De Vos A., Kohonen Neural Network Chip: Preliminary Results of Circuit Tests, Proceedings of the 6th International Conference on Mixed Design of Integrated Circuits and Systems –MIXDES’99, Kraków, pp. 503-506, 17-19 June 1999.
  • Kowalski J., Kacprzak T., De Vos A., A VLSI Circuit Idea of Cellular Neural Network Based Weighted Median Image Filter, Proceedings of the 7th International Conference on Mixed Design of Integrated Circuits and Systems - MIXDES’2000, Gdynia, Poland, pp. 403-406, 15-17 June 2000.
  • Kowalski J., Strzelecki M., A VLSI Kohonen Neural Network Chip and its Basic Measurements Results, International Symposium on Nonlinear Theory and its Applications - NOLTA 2000, Dresden, Germany, Proceedings, Vol. 1, pp. 401-404, 17-21 September 2000.
  • Kowalski J., Breuer V., Kacprzak T., BSIM3v3 MOSFET Model Several Important DC Parameters Extraction Using Triode Region Static Characteristics, Proceedings of the International Conference on Signals and Electronic Systems - ICSES’2000, Ustroń, Poland, pp. 301-306, 17-20 October 2000.
  • [a] Kowalski J., Raport naukowy z realizacji badań własnych nr I-16/2/BW/00, Weryfikacja doświadczalna filtru medianowego czasu rzeczywistego obrazów wideo, Politechnika Łódzka, Instytut Elektroniki , Łódź, luty 2001.
  • [b] Kowalski J., Półprzewodnikowa realizacja filtrów medianowych obrazu o archi-tekturze sieci neuronowych komórkowych, WEE PŁ Elektronika – Prace Naukowe, Zeszyt nr 6, pp. 95-113, Łódź 2001.
  • Kowalski J., Kacprzak T., De Vos A. Parallel Weighted Median Image Filter Based on Cellular Neural Network Paradigm, Proceedings of the 8th International Conference on Mixed Design of Integrated Circuits and Systems – MIXDES’2001, Zakopane, Poland, pp. 201-206, 21-23 June 2001.
  • [a] Kowalski J., Kacprzak T., Ślot K., Analogue VLSI Chip of Image Median/Average Filter Based on Cellular Neural Network Paradigm, Proceedings of the International Conference on Signals and Electronic Systems -ICSES’2001, Łódź, Poland, pp. 457-462, 18-21 September 2001.
  • [b] Kowalski J., Kacprzak T., Ślot K., Dębiec P., Functional Tests Results of Analogue VLSI Chip of Image Median/Average Filter Based on Cellular Neural Network Paradigm, Proceedings of the International Conference on Signals and Electronic Systems -ICSES’2001, Łódź, Poland, pp. 221-226, 18-21 September 2001.
  • [a] Kowalski J., Kacprzak T., Analiza i projektowanie sieci neuronowych komórkowych realizowanych w technice układowej wzmacniaczy transkonduktancyjnych jedno- i wielowejściowych, Kwartalnik Elektroniki i Telekomunikacji, Tom 47, Zeszyt 1, pp. 87-119, PWN, Warszawa 2001.
  • [b] Kowalski J., Kacprzak T., Cellular Neural Network Based Weighted Median Filter for Real Time Image Processing, Proceedings of 2001 IEEE International Conference on Image Processing – ICIP 2001, Thessaloniki, Greece, Vol. 1, pp. 545-548, 7-10 October 2001.
  • [a] Kowalski J., Raport naukowy z realizacji badań własnych nr I-16/2/BW/2001, Platforma testowa analogowego układu scalonego ważonego filtru statystycznego sygnałów/obrazów, Politechnika Łódzka, Instytut Elektroniki, Łódź, luty 2002.
  • [b] Kowalski J., Weighted Median Image Filter Chip Based on Cellular Neural Network Paradigm: Basic Measurements Results, Proceedings of the 9th International Conference on Mixed Design of Integrated Circuits and Systems – MIXDES’2002, Wrocław, Poland, pp. 641-646, 20-22 June 2002.
  • [c] Kowalski J., Analogue Weighted Median Filter Based on Cellular Neural Network for Standard Video Signal Processing, Proceedings of 7-th IEEE International Workshop on Cellular Neural Networks and their Applications: Nonlinear Information Processing and Intelligent Sensors - CNNA-2002, Johann Wolfgang Goethe - University, Frankfurt/ Main, Germany, pp. 638-645, 22-24 July 2002.
  • [a] Kowalski J., Raport naukowy z realizacji badań własnych nr I-16/247/02/BW/6, Filtracja sygnałów dźwiękowych z wykorzystaniem analogowego układu scalonego ASIC ważonego filtru statystyk porządkowych, Politechnika Łódzka, Instytut Elektroniki, Łódź, luty 2003.
  • [b] Kowalski J., Weighted Order Statistic Image Filter Chip Based on Cellular Neural Network Architecture, IEEE International Conference on Image Processing – ICIP 2003, Barcelona, Spain, Vol. 2, pp. 575-578, 14-17 September 2003.
  • [c] Kowalski J., 0.8 ?m CMOS Implementation of Weighted Order Statistic Image Filter Based on Cellular Neural Network Architecture, IEEE Transactions on Neural Networks, Special Issue on Neural Networks Hardware Implementations, Vol. 14, No. 5, pp. 1366-1374, September 2003.
  • Kowalski J., Strzelecki M., Projekt realizacji CMOS sieci oscylatorów do segmentacji obrazów binarnych, II Krajowa Konferencja Elektroniki – KKE’2003, Materiały Konferencji, Kołobrzeg , Tom 1/2, pp. 169-174, 9-12 Czerwiec 2003.
  • Kowalski J., Kacprzak T., Ślot K., New VLSI CMOS analogue median filter for real-time video applications, Electronics and Telecommunications Qarterly, Vol. 50, No. 2, pp. 209-230, Wydawnictwo Naukowe PWN, Warszawa 2004.
  • Kowalski J., Strzelecki M., De Vos A., Relaxation oscillator circuit design for image segmentation, Proc. of IEEE Signal Processing Workshop, Poznań, pp. 27-31, September 2004.
  • [a] Kowalski J., Strzelecki M., Weryfikacja pomiarowa bloków funkcjonalnych CMOS układu scalonego VLSI sieci oscylatorów do segmentacji obrazów binarnych, IV Krajowa Konferencja Elektroniki – KKE’2005, Materiały Konferencji, Darłówko Wschodnie , Tom 2/2, pp. 519-524, 12-15 Czerwiec 2005.
  • [b] Kowalski J., Strzelecki M., CMOS VLSI Design of Synchronized Oscillators Network for Binary Image Segmentation, Proceedings of the 12th International Conference on Mixed Design of Integrated Circuits and Systems – MIXDES’2005, pp. 71-76, Kraków, 22-25 June 2005.
  • [c] Kowalski J., Strzelecki M., CMOS VLSI Chip for Segmentation of Binary Images, Workshop Proceedings of IEEE Signal Processing’2005, pp. 149-154, Poznań, 30th September 2005.
  • Kowalski J., Strzelecki M., Majewski P., CMOS VLSI Chip of Network of Synchronised Oscillators: Functional Tests Results, Workshop Proceedings of IEEE Signal Processing’2006, pp.71-76, Poznań, 29th September 2006.
  • Kowalski J., Strzelecki M., Synchronization test results of oscillator network CMOS VLSI chip, Elektronika – konstrukcje, technologie, zastosowania. Miesięcznik naukowo-techniczny Stowarzyszenia Elektryków Polskich, Nr 4, pp. 53-57, 2007.
  • Kowalski J., Strzelecki M., 32x32 Oscillator Network Chip For Binary Image Segmentation, International Conference on Signals and Electronic Systems - ICSES 2008, pp. 227-230, Kraków, 14-17 September 2008.
  • Kowalski J., Strzelecki M., Kim H., Implementation of a Synchronized Oscillator Circuit for Fast Sensing and Labeling of Image Objects, Sensors, Vol. 11, No. 4, pp. 3401-3417, 2011.
  • Strzelecki M., Kowalski J., Model układowy CMOS oscylatora do segmentacji obrazów, Pierwsza Krajowa Konferencja Elektroniki – KKE’2002, Materiały Konferencji, Kołobrzeg – Dźwirzyno, Tom 1/2, pp. 253-258, 10-12 Czerwiec 2002.
  • Strzelecki M., Kowalski J., Realizacja układowa CMOS VLSI sieci synchronicznych oscylatorów dla celów segmentacji obrazów binarnych, sprawozdanie z projektu badawczego KBN nr T11 B 041 25, Łódź 2005.
  • Strzelecki M., Kowalski J., Majewski P., Hyongsuk K., Synchronized Oscilllator Network ASIC CMOS Chip for Segmentation of Binary Images, The 6th International Workshop on MSPT 2006 Multimedia, Signal Processing & Transmission, Jeonju, Korea, Materiały konferencyjne, pp. 113-122, 20 Listopad 2006.
  • Strzelecki M., Kowalski J., Hyongsuk Kim, Soohong Ko, A New CNN Oscillator Model for Parallel Image Segmentation, International Journal of Bifurcation and Chaos, Vol. 18, No. 7, pp. 1999-2015, 2008.
  • Ślot K., Kowalski J., Napieralski A., Kacprzak T., Analogue median/average image filter based on cellular neural network paradigm, Electronics Letters, Vol. 35, No. 19, pp. 1619-1620, 16th September 1999.
Typ dokumentu
Bibliografia
Identyfikator YADDA
bwmeta1.element.baztech-article-LODD-0001-0022
JavaScript jest wyłączony w Twojej przeglądarce internetowej. Włącz go, a następnie odśwież stronę, aby móc w pełni z niej korzystać.