PL EN


Preferencje help
Widoczny [Schowaj] Abstrakt
Liczba wyników
Tytuł artykułu

Reusing Verilog Designs in the Synchronous Language Esterel

Treść / Zawartość
Identyfikatory
Warianty tytułu
Języki publikacji
EN
Abstrakty
EN
Verilog is one of the two most popular high-level hardware description languages. Many libraries of useful designs, such as communication protocols and compression algorithms, are available in Verilog. These designs could be useful to designers of real-time and reactive systems if they could be translated into the languages used for such designs. Synchronous languages are particularly useful for describing the control of real-time embedded systems. Their rigorous mathematical semantics allows programmers to develop critical software faster and more reliably Synchronous languages also enable validation and verification of the developed systems. Veriest is an automatic translator that converts synthesizable Verilog designs into the synchronous language Esterel. The translation into a synchronous language can expose hidden flaws in the original design, including subtle race conditions. In addition, the extensive libraries of verified Verilog designs can now be reused in synchronous designs. Verilog and Esterel have different models and features, complicating the translation. For example, Verilog has flexible data types and operators for dealing with data buses of varying widths; it also supports three-state logic, which has no equivalent in languages not meant to describe hardware. Veriest creates functions in the hosting language (usually C) to represent concisely such features of Verilog that are not native to Esterel.
Twórcy
autor
  • Menachem Leuchter - Computer Science Department, The Open University, Rabutzki Str. 108, 43107 Raanana, Israel, tyshbe@tau.ac.il
Bibliografia
  • [1] G. Berry and G. Gonthier, “The Esterel synchronous programming language: Design, semantics, implementation”,Science of Computer Programming , vol. 19, no. 2, 1992, pp. 87-152.
  • [2] C. E. Cummings, “Nonblocking assignments in verilog synthesis, coding styles that kill!”. In:Synopsis Users Group (SNUG) , 2000.
  • [3] Esterel Technologies. The Esterel v7 reference manual.http://www.esterel-technologies.com/files/Esterel-Language-v7-Ref-Man.pdf.
  • [4] N. Halbwachs,Synchronous Programming of Reactive Systems, Kluwer, 1993.
  • [5] M. Leuchter. Translating Verilog designs into the synchronous language Esterel. Master's thesis, The Open University, Israel, February 2003.http://telem.openu.ac.il/cs/msc/files/ leuchter.pdf.
  • [6] S. Palnitkar, Guide to Digital Design and Synthesis, Prentice Hall, 1996.
  • [7] J. G. Proakis, Digital Communications, McGraw-Hill, 3 edition, 1995.
  • [8] R. Shyamasundar and J. Aghav, “Realizing real-time systems from synchronous language specifications”,Real Time Systems Symposium , Work in Progress Session,Orlando, Florida, USA, 2000.
Typ dokumentu
Bibliografia
Identyfikator YADDA
bwmeta1.element.baztech-article-BUJ6-0023-0143
JavaScript jest wyłączony w Twojej przeglądarce internetowej. Włącz go, a następnie odśwież stronę, aby móc w pełni z niej korzystać.