PL EN


Preferencje help
Widoczny [Schowaj] Abstrakt
Liczba wyników
Tytuł artykułu

Implementacja regulatora PID w strukturze FPGA

Treść / Zawartość
Identyfikatory
Warianty tytułu
EN
The FPGA implementation of the PID controller
Języki publikacji
PL
Abstrakty
PL
W pracy przedstawiono sposób realizacji regulatora PID na matrycy FPGA. Omówiono implementację, wyniki symulacji stworzonego teoretycznego projektu oraz sposób jego fizycznej realizacji. Przedmiotem zainteresowania są możliwości wykonania w postaci cyfrowej jednego z typowych układów regulujących procesami, które dostosowują sygnał sterujący urządzeniem na podstawie aktualnej wartości wyjściowej obiektu. Zastosowana matryca jest rekonfigurowalnym układem ogólnego przeznaczenia, który po zaprogramowaniu pozwoli użytkownikowi wykorzystywanie jej jako regulatora PID bez konieczności nabywania specjalizowanego urządzenia.
EN
This article contains the method of realization the PID controller using the FPGA array. There were described the implementation, results of the simulation of the theoretical project and the methods of physical realization. The main topic is realizability of the digital version of the typical process controller, which adjusts the command signal basing on the output of the device. The used array is reconfigurable general purpose circuit, which after being programmed one can use as a PID controller without necessity of purchasing the specialistic device.
Słowa kluczowe
Wydawca
Rocznik
Strony
523--525
Opis fizyczny
Bibliogr. 10 poz., rys., wzory
Twórcy
autor
Bibliografia
  • [1] V. J. Van Doren PID: wciąż najlepszy, Control Engineering, luty 2004 nr 01/02.
  • [2] T. Wescott PID without PhD , Embedded Systems Programming, http://www.embedded.com/2000/0010/0010feat3.htm
  • [3] Howard University - The RARE Project Floating Point Arithmetic VHDL Models, http://www.imappl.org/~cgloster/rare/vhdl/
  • [4] M. Zwolinski, Digital System Design with VHDL, Pearson Prentice Hall, Harlow 2004.
  • [5] T. B. Co, CM416 - PID Controller Tuning, Michigan Technological University, http://www.chem.mtu.edu/~tbco/cm416/cm416.html
  • [6] A. Ziębiński, P. Żaloudik: „Złożony system uruchomieniowy dla układu FPGA”, Rozdz. 44 w Systemy Informatyczne z ograniczenia-mi czasowymi, WKŁ, Warszawa 2006, str. 517-527.
  • [7] A. Ziębiński, L. Znamirowski: “Challenges in Implementation of FPAA/ FPGA Mixed-signal Technology”, International Conference on Engineering Education, ICEE 2005, Conference Proceedings, Volume 1, Current Trends in Engineering Education, July 25-29, Gliwice, Poland. Silesian University of Technology Press, Gliwice 2005, pp. 576-588.
  • [8] A. Ziębiński, L. Znamirowski, W. Sroka: “Implementacja wybranych funkcji sterownika przemysłowego w układzie programowalnym”, Rozdz. 19 w: Praca zbiorowa pod redakcją Z. Huzara i Z. Mazura: Systemy czasu rzeczywistego. Metody i zastosowania, WKŁ, Warszawa 2007, str. 209-218.
  • [9] A. Ziębiński, L. Znamirowski, Dynamicznie rekonfigurowalny system typu mixed-SIGNAL Systemy czasu Rzeczywistego, Ustroń, 2005.
  • [10] A. Ziębiński, A. Bartkowiak, System uruchomieniowy dla karty HOT II firmy VCC Reprogramowalne Układy Cyfrowe, Szczecin, 2004.
Typ dokumentu
Bibliografia
Identyfikator YADDA
bwmeta1.element.baztech-article-BSW4-0054-0022
JavaScript jest wyłączony w Twojej przeglądarce internetowej. Włącz go, a następnie odśwież stronę, aby móc w pełni z niej korzystać.