PL EN


Preferencje help
Widoczny [Schowaj] Abstrakt
Liczba wyników
Tytuł artykułu

Modelowanie sieci Petriego w języku VHDL

Autorzy
Wybrane pełne teksty z tego czasopisma
Identyfikatory
Warianty tytułu
EN
Petri net modeling in VHDL
Języki publikacji
PL
Abstrakty
PL
Sieć Petriego dobrze nadaje się do modelowania współbieżnych układów cyfrowych, w szczególności do układów sterowania. W celu szybkiego prototypowania takich układów przygotowywane są odpowiadające im modele w językach opisu sprzętu. Opracowywane modele wykorzystywane są zarówno do celów symulacji, jak i syntezy. Implementacja odbywa się z wykorzystaniem programowalnych matryc bramkowych FPGA. Do aktualnie stosowanych języków HDL zalicza się VHDL i Verilog. W przeglądowym artykule przedstawiono sposoby modelowania sieci Petriego w języku VHDL.
EN
Petri nets are used to specification of concurrent Logic Controllers. For rapid prototyping of such systems HDL models are prepared. Models are used for both, simulation and synthesis. As implementation technology, programmable logic, e.g. FPGA devices, is applied. VHDL and Verilog are used in modern CAD systems. In the paper a short overview of VHDL modeling method is presented.
Rocznik
Strony
212--216
Opis fizyczny
Bibliogr. 22 poz., rys., tab.
Twórcy
autor
Bibliografia
  • [1] Adams ki M., Parallel Controller Implementation using Standard PLD Software. w: Moore W.R., Luk W. (Ed.), FPGAs, The Oxford 1991 International Workshop on Field Programmable Logic and Applications, Abingdon EE&CS, Abingdon (UK), 1991, 296-304
  • [2] Adamsk i M., Węgrzyn M., Design of Reconfigurable Logic Controllers from Petri Net-based specifications, The 4th IFAC Workshop on Discrete-Event System Design, DESDes 2009, Gandia (Hiszpania), 2009, (przyjęto)
  • [3] Banaszak Z., Kuś J., Adamski M., Sieci Petriego. Modelowanie, Sterowanie i Synteza Systemów Dyskretnych, Wydawnictwo Wyższej Szkoły Inżynierskiej, Zielona Góra, 1993
  • [4] Baranov S., Logic Synthesis for Control Automata, Kluwer Academic Publishers, Boston, 1994
  • [5] Belhadj H., Gerbaux L., Bertrand M.-C., Saucier G., Specification and Synthesis of Communicating Finite State Machines. w: Saucier G., Tr i lhe J., (Ed.), Synthesis for Control Dominated Circuits, Elsevier Science Publishers B.V. , IFIP, North-Holland, 1993, 91-102
  • [6] David R., Alla H., Petri Nets & Grafcet. Tools for modelling discrete event systems, Prentice Hall, New York, 1992
  • [7] Gomes L., Costa A., Barros J.P., Lima P., From Petri net models to VHDL implementation of digital controllers, The 33rd Annual Conference of the IEEE Industrial Electronics Society, IECON 2007, 2007, Taipei (Taiwan)
  • [8] Fernandes J.M., Adamski M., Proença A.J., VHDL generation from hierarchical Petri net specifications of parallel controllers, IEE Proc., Part E - Computers and Digital Techniques, 144 (March 1997), No.2, 127-137
  • [9] Hajduk Z., Sprzętowa implementacja rozmytych sieci Petriego jako układów sterowania, Rozprawa doktorska. Uniwersytet Zielonogórski, Wydział Elektrotechniki, Informatyki i Telekomunikacji, Zielona Góra, 2006.
  • [10] Kozłowski T., Dagless E.L., Saul J.M., Adamski M., Szajna J., Parallel controller synthesis using Petri nets, IEE Proceedings, Part E: Computers and Digital Techniques, 142 (1995), No.4, 263-271
  • [11] Murata T., Petri Nets: Properties, Analysis and Applications, Proceedings of the IEEE, 77 (April 1989), No.4, 541-580
  • [12] Minns P., Elliott I., FSM based Digital Design using Verilog HDL, John Wiley & Sons, Ltd., Chichester, England, 2008
  • [13] Pardey J., Bol ton M., Parallel controller synthesis for concurrent data paths. Proc. of the IFIP Workshop Control Dominated Synthesis From a Register Transfer Level Description, 1992, 16-19
  • [14] Soto E., Pereira M., Implementing a Petri net specification in a FPGA using VHDL, Proceedings of the International Workshop on Discrete-Event System Design, DESDes 2001
  • [15] Węgrzyn A., Symboliczna analiza układów sterowania binarnego z wykorzystaniem wybranych metod analizy sieci Petriego, Oficyna Wydaw. Uniwersytetu Zielonogórskiego, Zielona Góra, 2003
  • [16] Węgrzyn M., Hierarchiczna implementacja współbieżnych kontrolerów cyfrowych z wykorzystaniem FPGA, Rozprawa doktorska, Politechnika Warszawska, Wydział Elektroniki i Technik Informacyjnych, Warszawa, 1998
  • [17] Węgrzyn M., Modelling and synthesis of safety-critical systems by means of Petri nets and FPGAs, Radioelektronika I Informatyka, 4 (2001), 115-118
  • [18] Węgrzyn M., Implementation of Safety Critical Logic Controller by Means of FPGA, Annual Reviews in Control, 27 (2003), 55-61
  • [19] Wegr z yn M., Petri Net Decomposition Approach for Partial Reconfiguration of Logic Controllers, The 3rd IFAC Workshop on Discrete-Event System Design, DESDes’06, Rydzyna (Polska), 2006, 323-328
  • [20] Wolański P., Węgrzyn M., Adamski M., VHDL Modelling of industrial Logic Control System, Proceedings of the 42nd International Scientific Colloquium, IWK’97, Ilmenau (Niemcy), I (1997), 528-533
  • [21] Wolański P., Modelowanie układów cyfrowych na poziomie RTL z wykorzystaniem sieci Petriego i podzbioru języka VHDL, Rozprawa doktorska. Politechnika Warszawska, Wydział Elektroniki i Technik Informacyjnych, Warszawa, 1998
  • [22] Yakovlev A., Gomes L., Lavagno L. (Ed.), Hardware Design and Petri Nets, Kluwer Academic Publisher, Boston, 2000
Typ dokumentu
Bibliografia
Identyfikator YADDA
bwmeta1.element.baztech-article-BPOB-0026-0017
JavaScript jest wyłączony w Twojej przeglądarce internetowej. Włącz go, a następnie odśwież stronę, aby móc w pełni z niej korzystać.