PL EN


Preferencje help
Widoczny [Schowaj] Abstrakt
Liczba wyników
Tytuł artykułu

A Comparative Study of Single- and Dual-Threshold Voltage SRAM Cells

Treść / Zawartość
Identyfikatory
Warianty tytułu
Języki publikacji
EN
Abstrakty
EN
In this paper, a comparison has been drawn between 5 transistor (5T), 6T and 7T SRAM cells. All the cells have been designed using both single-threshold (conventional) and dual-threshold (dual-Vt) voltage techniques. Their respective delays and power consumption have been calculated at 180 nm and 65 nm CMOS technology. With technology scaling, power consumption decreases by 80% to 90%, with some increase in write time because of the utilization of high- Vt transistors in write critical path. The results show that the read delay of 7T SRAM cell is 9% lesser than 5T SRAM cell and 29% lesser than 6T SRAM cell due to the lower resistance of the read access delay path. While read power of 5T SRAM cell is reduced by 10% and 24% as compared to 7T SRAM, 6T SRAM cell respectively. The write speed, however, is degraded by 1% to 3% with the 7T and 5T SRAM cells as compared to the 6T SRAM cells due to the utilization of single ended architecture. While write power of 5T SRAM cell is reduced by up to 40% and 67% as compared to 7T SRAM, 6T SRAM cell respectively.
Rocznik
Tom
Strony
124--130
Opis fizyczny
Bibliogr. 30 poz., rys., tab.
Twórcy
autor
autor
Bibliografia
  • [1] I. Carlson, S. Andersson, S. Natarajan, and A. Alvandpour, “A high density, low leakage, 5T SRAM for embedded caches”, in Proc. 30th Eur. Solid State Circ. Conf. ESSCIRC 2004, Leuven, Belgium, 2004, pp. 215–218.
  • [2] M. Margala and M.Wieckowski, “A novel five-transistor (5T) SRAM cell for high performance cache”, in Proc. IEEE Int. SOC Conf. SOCC 2005, Herndon, VA, USA, 2005, pp. 101–102.
  • [3] S. Cosemans, W. Dehaene, and F. Catthoor, “A low power embedded SRAM for wireless applications”, in Proc. IEEE 32nd Conf. Solid State Circuit, Montreu, Switzerland, 2006.
  • [4] S. Cosemans, W. Dehaene, and F. Catthoor “A Low-Power Embedded SRAM for Wireless Applications”, IEEE J. Solid State Circuits, vol. 42, no. 7, 2007.
  • [5] R. F. Hobson and H. Jarollahi, “Power and area efficient 5T-SRAM with improved performance for low-power SoC in 65 nm CMOS”, in IEEE 53rd Int. Midwest Symp. Circ. Sys. MWSCAS 2010, Seatle, WA, USA, 2010, pp. 121–124.
  • [6] S. A. Tawfik and V. Kursun, “Low power and roubst 7T dual-Vt SRAM circuit”, in Proc. IEEE Int. Symp. Circ. Sys., ISCAS 2008, Seatle, WA, USA, 2008, pp. 1452–1455.
  • [7] R. E. Aly, M. I. Faisal, and M. A. Bayoumi, “Novel 7T sram cell for low power cache design”, in Proc. IEEE Int. SOC Conf. SOCC 2005, Herndon, VA, USA, 2005.
  • [8] R. E. Aly and M. A. Bayoumi, “Low-power cache design using 7T SRAM cell”, IEEE Trans. Circ. Sys., vol. 54, no. 4, 2007.
  • [9] J. Singh, J. Mathew, and K. D. Pradhan, “A subthreshold single ended I/O SRAM cell design for nanometer CMOS technologies”, in Proc. IEEE Int. SOC Conf. SOCC 2008, Belfast, UK, 2008, pp. 243–246.
  • [10] M.-T. Chang, P.-T. Huang, and W. Hwang, “A robust ultra-low power asynchronous FIFO memory with self-adaptive power control”, in Proc. IEEE Int. SOC Conf. SOCC 2008, Belfast, UK, 2008.
  • [11] T. Azam and B. Cheng, “Variability resilient low-power 7T-SRAM design for nano-scaled technologies”, in Proc. IEEE 11th Int. Symp. Quality Electron. Design, San Jose, CA, USA, 2010.
  • [12] Z. Liu and V. Kursun, “High read stability and low leakage cache memory cell”, in Proc. IEEE Int. Symp. Circ. Sys., Cancun, Mexico, 2007, pp. 2774–2777.
  • [13] L. Chang et al., “Stable SRAM cell design for the 32 nm node and beyond”, in Proc. IEEE Symp. VLSI Technol., Hsinchu, Taiwan, 2005, pp. 128–129.
  • [14] P. Athe and S. Dasgupta, “A comparative study of 6T, 8T and 9T decanano SRAM cell”, in Proc. IEEE Symp. Industrial Electron. Appl. ISIEA 2009, Kuala Lumpur, Malaysia, 2009.
  • [15] G. Sery et al., “Life is CMOS: Why chase life after?”, in Proc. IEEE Design Automation Conf., New Orleans, LA, USA, 2002, pp. 78–83.
  • [16] R. Keerthi and C. Chen, “Stability and static noise margin analysis of low-power SRAM”, in Proc. IEEE Int. Conf. Instrument. Measur. Technol., Victoria, BC, Canada, 2008.
  • [17] S. S. Rathod, S. Dasgupta, and A. K. Saxena, “Investigation of stack as a low power design technique for 6-T SRAM cell”, in Proc. IEEE Region 10th Conf. TENCON 2008, Hyderabad, India, 2008.
  • [18] D. Hentrich, E. Oruklu, and J. Saniie, “Performance evaluation of SRAM cells in 22nm predictive CMOS technology”, in Proc. IEEE Int. Conf. Electro/Inform. Technol., Windsor, Ontario, Canada, 2009.
  • [19] S. Birla, N. Kr. Shukla, D. Mukherjee, and R. K. Singh, “Leakage Current reduction in 6T single cell SRAM at 90nm technology”, in Proc. IEEE Int. Conf. Advances Comput. Engin., Bangalore, Karnataka, India, 2010.
  • [20] C.-C. Wang, P.-M. Lee, and K.-L. Chen, “An SRAM design using dual threshold voltage transistors and low-power quenchers”, IEEE J. Solid State Circ., pp. 1712–1720, vol. 38, no. 10, 2003.
  • [21] B. Amelifard, M. Pedram, and F. Farzan, “Reducing the subthreshold and gate-tunneling leakage of SRAM cells using dual-Vt and dual-tox assignment”, in Proc. IEEE Conf. Design, Automation and Testing in Europe, Munich, Germany, 2006, vol. 1, p. 1.
  • [22] F. Moradi, D. T. Wisland, H. Mahmoodi, Y. Berg, and T. V. Cao, “New SRAM design using body-bias techniques for ultra low power applications”, in Proc. IEEE 11th Int. Symp. Quality Electron. Design, San Jose, CA, USA, 2010, p. 468.
  • [23] C. H. Kim and K. Roy, “Dynamic Vt SRAM: A leakage tolerant cache memory for low voltage microprocessors”, in Proc. Int. Symp. Low Power Electr. Design ISLPED’02, Monterey, CA, USA, 2002, pp. 251–254.
  • [24] C. H. Kim and J.-J. Kim, “A forward body-biased low leakage SRAM cache: Device and architecture considerations”, in Proc. Int. Symp. Low Power Electr. Design ISLPED’03, Seoul, Korea, 2003, pp. 6–9.
  • [25] B. Amelifard, F. Fallah, and M. Pedram, “Leakage minimization of SRAM cells in a dual-Vt and dual-tox technology”, IEEE Trans. On Very Large Scale Integra. Sys., vol. 16, no. 7, 2008.
  • [26] J. T. Kao and A. P. Chandrakasan, “Dual-threshold voltage techniques for low-power digital circuits”, IEEE J. Solid State Circ., vol. 35, no. 7, 2000.
  • [27] S. Panda, N. M. Kumar, and C. K. Sarkar, “Power, delay and noise optimization of a SRAM cell using a different threshold voltages and high performance output noise reduction circuit”, in Proc. Int. Conf. Comput. Devices for Commun. CODEC 2009, Kolkata, India, 2009.
  • [28] K. W. Mai, T. Mori, and B. S. Amrutur, “Low-power SRAM design using half-swing pulse-mode techniques”, IEEE J. Solid State Circ., vol. 33, no. 11, 1998.
  • [29] E. J. Stine and H. Choday, “Single-ended half-swing low-power SRAM design”, in Proc. IEEE 42nd Conf. Signals, Sys. Comput., Pacific Grove, CA, USA, 2008, p. 2108.
  • [30] J. Singh, J. Mathew, and P. S. Mohanty, “Single ended static random access memory for low-vdd, high-speed embedded systems”, in Proc. IEEE 22nd Int. Conf. VLSI Design, New Delhi, India, 2009.
Typ dokumentu
Bibliografia
Identyfikator YADDA
bwmeta1.element.baztech-article-BATA-0015-0028
JavaScript jest wyłączony w Twojej przeglądarce internetowej. Włącz go, a następnie odśwież stronę, aby móc w pełni z niej korzystać.