PL EN


Preferencje help
Widoczny [Schowaj] Abstrakt
Liczba wyników
Tytuł artykułu

Rare Earth Silicate Formation: A Route Towards High-k for the 22 nm Node and Beyond

Treść / Zawartość
Identyfikatory
Warianty tytułu
Języki publikacji
EN
Abstrakty
EN
Over the last decade there has been a significant amount of research dedicated to finding a suitable high-k/metal gate stack to replace conventional SiON/poly-Si electrodes. Materials innovations and dedicated engineering work has enabled the transition from research lab to 300 mm production a reality, thereby making high-k/metal gate technology a pathway for continued transistor scaling. In this paper, we will present current status and trends in rare earthbased materials innovations; in particular Gd-based, for the high-k/metal gate technology in the 22 nm node. Key issues and challenges for the 22 nm node and beyond are also highlighted.
Rocznik
Tom
Strony
51--60
Opis fizyczny
Bibliogr. 98 poz., rys., tab.
Twórcy
autor
  • Department of Electrical Engineering and Electronics, University of Liverpool, Brownlow Hill, Liverpool L69 3GJ, United Kingdom, ivona@liverpool.ac.uk
Bibliografia
  • [1] S. Natarajan, M. Armstrong, M. Bost, R. Brain, and M. Brazier, “A 32 nm logic technology featuring 2nd generation high-k metalgate transistors, enhanced channel strain and 0.171 μ m2 SRAM cell size in a 291 Mb array”, in Proc. IEEE IEDM 2008 Conf., San Francisco, USA, 2008, pp. 941–943.
  • [2] H. S. Yang et al., “Scaling of 32 nm low power SRAM with high-k metal gate”, in Proc. IEEE IEDM 2008 Conf., San Francisco, USA, 2008, pp. 233–236.
  • [3] H. Kawasaki et al., “Demonstration of highly scaled FinFET SRAM cells with high-k/metal gate and investigation of characteristic variability for the 32 nm node and beyond”, in Proc. IEEE IEDM 2008 Conf., San Francisco, USA, 2008, pp. 237–240.
  • [4] C. H. Diaz et al., “32 nm gate-first high-k/metal-gate technology for high performance low power applications”, in Proc. IEEE IEDM Tech. Dig., San Francisco, USA, 2008, pp. 629–632.
  • [5] S. Hasegawa et al., “A cost-conscious 32 nm CMOS platform technology with advanced single exposure lithography and gate-first metal gate/high-k process”, in Proc. IEEE IEDM 2008 Conf., San Francisco, USA, 2008, pp. 938–940.
  • [6] K. Mistry et al., “A 45 nm logic technology with high-k+ metal gate transistors, strained silicon, 9 Cu interconnect layers, 193 nm dry patterning, and 100% Pb-free packaging”, in Proc. IEEE IEDM Tech. Dig., Washington, USA, 2007, pp. 247–250.
  • [7] S. Mayuzumi, S. Yamakawa, Y. Tateshita, T. Hirano, M. Nakata, S. Yamaguchi, K. Tai, H. Wakabayashi, M. Tsukamoto, and N. Nagashima, “High-performance metal/high-k n- and p-MOSFETs with top-cut dual stress liners using gate-last damascene process on (100) substrates”, IEEE Trans. Electron Dev., vol. 56, no. 4, pp. 620–626, 2009.
  • [8] X. Chen et al., “A cost effective 32 nm high-k/metal gate CMOS technology for low power applications with single-metal/gate-first process”, in Proc. IEEE VLSI Tech. Symp., Honolulu, Hawaii, USA, 2008, pp. 88–89.
  • [9] M. Chudzik et al., “High-performance high-k metal gates for 45 nm CMOS and beyond with gate-first processing”, in Proc. IEEE VLSI Tech. Symp., Kyoto, Japan, 2007, pp. 194–195.
  • [10] S. Tyagi et al., “Future device scaling – beyond traditional CMOS”, in Proc. IEDST’09 Conf., Mumbai, India, 2009, pp. 1–4.
  • [11] “International Technology Roadmap for Semiconductors (ITRS)” [Online]. Available: http://public.itrs.net
  • [12] H. J. Osten, “Epitaxial high-k dielectrics on silicon”, in Proc. IEEE ASDAM 2004 Conf., Smolenice, Slovakia, 2004, pp. 155–162.
  • [13] Y. Ma, Y. Ono, L. Stecker, D. R. Evans, and S. T. Hsu, “Zirconium oxide based gate dielectrics with equivalent oxide thickness of less than 1.0 nm and performance of submicron MOSFET using a nitride gate replacement process”, in Proc. IEEE IEDM Conf., Washington, USA, 1999, pp. 149–152.
  • [14] B. H. Lee, L. Kang, W.-J. Qi, R. Nieh, Y. Jeon, K. Onishi, and J. C. Lee, “Ultrathin hafnium oxide with low leakage and excellent reliability for alternative gate dielectric application”, in Proc. IEEE IEDM Conf., Washington, USA, 1999, pp. 133–136.
  • [15] S. Jeon, C.-J. Choi, T.-Y. Seong, and H. Hwang, “Electrical characteristics of ZrOxNy prepared by NH3 annealing of ZrO2”, Appl. Phys. Lett., vol. 79, iss. 2, pp. 245–247, 2001.
  • [16] J. Kwo et al., “Properties of high k gate dielectrics Gd2O3 and Y2O3 for Si”, J. Appl. Phys., vol. 89, iss. 7, pp. 3920–3927, 2001.
  • [17] O. Engstrom, B. Raeissi, S. Hall, O. Buiu, M. C. Lemme, H. D. B. Gottlob, P. K. Hurley, and K. Cherkaoui, “Navigation aids in the search for future future high-k dielectrics: physical and electrical trends”, Solid-State Electron., vol. 51, iss. 4, pp. 622–626, 2007.
  • [18] J. Robertson, “High dielectric constant oxides”, Eur. Phys. J. Appl. Phys., vol. 28, no. 3, pp. 265–291, 2004.
  • [19] L. Pantisano, T. Schram, B. O’Sullivan, T. Conard, S. De Gendt, G. Groeseneken, P. Zimmerman, A. Akheyar, M. M. Heyns, S. Shamuilla, V. V. Afanas’ev, and A. Stesmans, “Effective work function modulation by controlled dielectric monolayer deposition”, Appl. Phys. Lett., vol. 89, iss. 11, pp. 113505-1–113505-3, 2006.
  • [20] L.-A. Ragnarsson, V. S. Chang, H. Y. Yu, H.-J. Cho, T. Conard, K. M. Yin, A. Delabie, J. Swerts, T. Schram, S. De Gendt, and S. Biesemans, “Achieving conduction band-edge effective work functions by La2O3 capping of hafnium silicates”, IEEE Electron Dev. Lett., vol. 28, no. 6, pp. 486–488, 2007.
  • [21] G. D. Wilk, R. M. Wallace, and J. M. Anthony, “High-k gate dielectrics: current status and materials properties considerations”, J. Appl. Phys., vol. 89, iss. 10, pp. 5243–5275, 2001.
  • [22] I. Z. Mitrovic, O. Buiu, S. Hall, C. Bungey, T. Wagner, W. Davey, and Y. Lu, “Electrical and structural properties of hafnium silicate thin films”, Microelectron. Reliab., vol. 47, iss. 4–5, pp. 645–648, 2007.
  • [23] S. Van Elshocht, C. Adelmann, T. Conard, A. Delabie, A. Franquet, L. Nyns, O. Richard, P. Lehnen, J. Swerts, and S. De Gendt, “Silicate formation and thermal stability of ternary rare earth oxides as high-k dielectrics”, J. Vac. Sci. Technol. A, vol. 26, no. 4, pp. 724–730, 2008.
  • [24] J. A. Gupta, D. Landheer, J. P. McCaffrey, and G. I. Sproule, “Gadolinium silicate gate dielectric films with sub-1.5 nm equivalent oxide thickness”, Appl. Phys. Lett., vol. 78, iss. 12, pp. 1718–1720, 2001.
  • [25] M. Copel, E. Cartier, and F. M. Ross, “Formation of a stratified lanthanum silicate dielectric by reaction with Si(001)”, Appl. Phys. Lett., vol. 78, iss. 11, pp. 1607–1609, 2001.
  • [26] G. Lupina, T. Schroeder, C. Wenger, J. Dabrowski, and H.-J. Müssig, “Thermal stability of Pr silicate high-k layers on Si(001)”, Appl. Phys. Lett., vol. 89, iss. 22, pp. 222909-1–222909-3, 2006.
  • [27] A. Sakai, S. Sakashita, M. Sakashita, Y. Yasuda, S. Zaima, and S. Miyazaki, “Praseodymium silicate formed by postdeposition high-temperature annealing”, Appl. Phys. Lett., vol. 85, no. 22, pp. 5322–5324, 2004.
  • [28] H. Iwai, S. Ohmi, S. Akama, C. Ohshima, A. Kikuchi, I. Kashiwagi, J. Taguchi, H. Yamamoto, J. Tonotani, Y. Kim, I. Ueda, A. Kuriyama, and Y. Yoshihara, “Advanced gate dielectric materials for sub-100 nm CMOS”, in Proc. IEEE IEDM Conf., San Francisco, USA, 2002, pp. 625–628.
  • [29] D. P. Norton, “Synthesis and properties of epitaxial electronic oxide thin-film materials”, Mater. Sci. Eng. R, vol. 43, iss. 5–6, pp. 139–247, 2004.
  • [30] P. Delugas and V. Fiorentini, “Dielectric properties of two phases of crystalline lutetium oxide”, Microelectron. Reliab., vol. 45, iss. 5–6, pp. 831–833, 2005.
  • [31] H. Ono and T. Katsumata, “Interfacial reactions between thin rareearth-metal oxide films and Si substrates”, Appl. Phys. Lett., vol. 78, no. 13, pp. 1832–1834, 2001.
  • [32] J.Wu, M. Y. Yang, A. Chin, W. J. Chen, and C. M. Kwei, “Electrical characteristics of high quality La2O3 gate dielectric with equivalent oxide thickness of 5 °A”, IEEE Electron. Dev. Lett., vol. 21, no. 7, pp. 341–343, 2000.
  • [33] J.-B. Cheng, A.-D. Li, Q.-Y. Shao, H.-Q. Ling, D. Wu, Y. Wang, Y.-J. Bao, M. Wang, Z.-G. Liu, and N.-B. Ming, “Growth and characteristics of La2O3 gate dielectric prepared by low pressure metalorganic chemical vapor deposition”, Appl. Surf. Sci., vol. 233, iss. 1–4, pp. 91–98, 2004.
  • [34] H. J. Osten, J. P. Liu, and H. J. Mussig, “Band gap and band discontinuities at crystalline Pr2O3/Si(001) heterojunctions”, Appl. Phys. Lett., vol. 80, iss. 2, pp. 297–299, 2002.
  • [35] R. Lo Nigro, V. Raineri, C. Bongiorno, R. Toro, G. Malandrino, and I. L. Fragala, “Dielectric properties of Pr2O3 high-k films grown by metalorganic chemical vapor deposition on silicon”, Appl. Phys. Lett., vol. 83, iss. 1, pp. 129–131, 2003.
  • [36] R. Lo Nigro, R. G. Toro, G. Malandrino, V. Raineri, and I. L. Fragala, “A simple route to the synthesis of Pr2O3 high-k thin films”, Adv. Mater., vol. 15, iss. 13, pp. 1071–1075, 2003.
  • [37] T.-M. Pan, F.-J. Tsai, C.-I. Hsieh, and T.-W. Wu, “Structural properties and electrical characteristics of praseodymium oxide gate dielectrics”, Electrochem. Solid-State Lett., vol. 10, no. 4, pp. G21–G24, 2007.
  • [38] J. Kwo et al., “High e gate dielectrics Gd2O3 and Y2O3 for silicon”, Appl. Phys. Lett., vol. 77, iss. 1, pp. 130–132, 2000.
  • [39] M. D. Kannan, S. K. Narayandass, C. Balasubramanian, and D. Mangalaraj, “Structure and electrical properties of thermally evaporated Nd2O3 thin films”, Phys. Stat. Sol. A, vol. 128, iss. 2, pp. 427–433, 1991.
  • [40] A. Fissel, Z. Elassar, O. Kirfel, E. Bugiel, M. Czernohorsky, and H. J. Osten, “Interface formation during molecular beam epitaxial growth of neodymium oxide on silicon”, J. Appl. Phys., vol. 99, iss. 7, pp. 074105-1–074105-6, 2006.
  • [41] T. Busani and R. A. B. Devine, “The importance of network structure in high-k dielectrics: LaAlO3, Pr2O3 and Ta2O5”, J. Appl. Phys., vol. 98, iss. 4, pp. 044102-1–044102-5, 2005.
  • [42] K. J. Hubbart and D. G. Schlom, “Thermodynamic stability of binary oxides in contact with silicon”, J. Mater. Res., vol. 11, no. 11, pp. 2757–2776, 1996.
  • [43] M. Copel, E. Cartier, V. Narayanan, M. C. Reuter, S. Guha, and N. Bojarczuk, “Characterization of silicate/Si(001) interfaces”, Appl. Phys. Lett., vol. 81, iss. 22, pp. 4227–4229, 2002.
  • [44] C.-J. Choi, M.-G. Jang, Y.-Y. Kim, M.-S. Jun, T.-Y. Kim, and M.-H. Song, “Electrical and structural properties of high-k Er-silicate gate dielectric formed by interfacial reaction between Er and SiO2 films”, Appl. Phys. Lett., vol. 91, iss. 1, pp. 012903-1–012903-3, 2007.
  • [45] G. Lupina, T. Schroeder, J. Dabrowski, C. Wenger, A. U. Mane, H.-J. Mussig, P. Hoffmann, and D. Schmeisser, “Praseodymium silicate films on S(100) for gate dielectric applications: physical and electrical characterization”, J. Appl. Phys., vol. 99, iss. 11, pp. 114109-1–114109-5, 2006.
  • [46] M. Copel, “Selective desorption of interfacial SiO2”, Appl. Phys. Lett., vol. 82, iss. 10, pp. 1580–1582, 2003.
  • [47] D. J. Lichtenwalner et al., “Lanthanum silicate gate dielectric stacks with subnanometer equivalent oxide thickness utilizing an interfacial silica consumption reaction”, J. Appl. Phys., vol. 98, iss. 2, pp. 024314-1–024314-6, 2005.
  • [48] A. Laha, A. Fissel, and H. J. Osten, “Engineering the interface between epitaxial lanthanide oxide thin films and Si substrates: a route towards tuning the electrical properties”, Microelectron. Eng., vol. 84, iss. 9–10, pp. 2282–2285, 2007.
  • [49] H. D. B. Gottlob, M. Schmidt, A. Stefani, M. C. Lemme, H. Kurz, I. Z. Mitrovic, W. M. Davey, S. Hall, M. Werner, P. R. Chalker, K. Cherkaoui, P. K. Hurley, J. Piscator, O. Engstrom, and S. B. Newcomb, “Scaling potential and MOSFET integration of thermally stable Gd silicate dielectrics”, Microelectron. Eng., vol. 86, iss. 7–9, pp. 1642–1645, 2009.
  • [50] H. D. B. Gottlob, M. Schmidt, M. C. Lemme, H. Kurz, I. Z. Mitrovic, M. Werner, W. M. Davey, S. Hall, P. R. Chalker, K. Cherkaoui, P. K. Hurley, B. Raeissi, O. Engstrom, and S. B. Newcomb, “Gd silicate: a high-k dielectric compatible with high temperature annealing”, J. Vac. Sci. Technol. B, vol. 27, no. 1, pp. 249–252, 2009.
  • [51] D. Eom, S. Y. No, C. S. Hwang, and H. J. Kim, “Deposition characteristics and annealing effect of La2O3 films prepared using La(iPrCp)3 precursor”, J. Electrochem. Soc., vol. 154, iss. 3, pp. G49–G53, 2007.
  • [52] X. Wu, D. Landheer, G. I. Sproule, T. Quance, M. J. Graham, and G. A. Botton, “Characterization of gadolinium and lanthanum oxide films on Si (100)”, J. Vac. Sci. Technol. A, vol. 20, no. 3, pp. 1141–1144, 2002.
  • [53] S. Guha, E. Cartier, M. A. Gribelyuk, N. A. Bojarczuk, and M. C. Copel, “Atomic beam deposition of lanthanum- and yttriumbased oxide thin films for gate dielectrics”, Appl. Phys. Lett., vol. 77, iss. 17, pp. 2710–2712, 2000.
  • [54] A. C. Jones, “Molecular design of improved precursors for the MOCVD of electroceramic oxides”, J. Mater. Chem., vol. 12, no. 9, pp. 2576–2590, 2002.
  • [55] A. C. Jones, H. C. Aspinall, P. R. Chalker, R. J. Potter, K. Kukli, A. Rahtu, M. Ritala, and M. Leskala, “Recent developments in the MOCVD and ALD of rare earth oxides and silicates”, Mater. Sci. Eng. B, vol. 118, iss. 1–3, pp. 97–104, 2005.
  • [56] R. Lupták, K. Fröhlich, A. Rosová, K. Hušeková, M. Tapajna, D. Machajdík, M. Jergel, J. P. Espinós, and C. Mansilla, “Growth of gadolinium oxide films for advanced MOS structure”, Microelectron. Eng., vol. 80, pp. 154–157, 2005.
  • [57] M. P. Singh, C. S. Thakur, K. Shalini, S. Banerjee, N. Bhat, and S. A. Shivashankar, “Structural, optical, and electrical characterization of gadolinium oxide films deposited by low-pressure metalorganic chemical vapour deposition”, J. Appl. Phys., vol. 96, no. 10, pp. 5631–5637, 2004.
  • [58] B. A. Orlowski, E. Guziewicz, N. E. Orlowska, A. Bukowski, and R. L. Johnson, “Photoemission study of Gd on clean Si(111) surface”, Surf. Sci., vol. 507–510, pp. 218–222, 2002.
  • [59] P. Y. Kuei and C. C. Hu, “Gadolinium oxide high-k gate dielectrics prepared by anodic oxidation”, Appl. Surf. Sci., vol. 254, iss. 17, pp. 5487–5491, 2008.
  • [60] H.-H. Ko, L.-B. Chang, M.-J. Jeng, P.-Y. Kuei, and K.-Y. Horng, “Properties of thermal gadolinium oxide films on silicon”, Jap. J. Appl. Phys., vol. 44, no. 5A, pp. 3205–3208, 2005.
  • [61] L.-Z. Hsieh, H.-H. Ko, P.-Y. Kuei, L.-B. Chang, and M.-J. Jeng, “Hysteresis in gadolinium oxide metal-oxide-semiconductor capacitors”, J. Appl. Phys., vol. 98, iss. 7, pp. 076110-1–076110-3, 2005.
  • [62] A. Laha, H. J. Osten, and A. Fissel, “Influence of interface layer composition on the electrical properties of epitaxial Gd2O3 thin films for high-k application”, Appl. Phys. Lett., vol. 90, iss. 11, pp. 113508-1–113508-3, 2007.
  • [63] M. Czernohorsky, E. Bugiel, H. J. Osten, A. Fissel, and O. Kirfel, “Impact of oxygen supply during growth on the electrical properties of crystalline Gd2O3 thin films on Si(001)”, Appl. Phys. Lett., vol. 88, iss. 15, pp. 152905-1–152905-3, 2006.
  • [64] I. Z. Mitrovic, M. Werner, W. M. Davey, S. Hall, P. R. Chalker, H. D. B. Gottlob, M. C. Lemme, O. Engstrom, K. Cherkaoui, and P. K. Hurley, “Quest for an optimal gadolinium silicate gate dielectric stack”, in 39th Conf. IEEE SISC 2008, San Diego, USA, 2008.
  • [65] M. Werner, P. R. Chalker, W. M. Davey, I. Z. Mitrovic, S. Hall, and I. Alexandrou, “Formation of high-k gadolinium silicate via silicon oxide inter-diffusion into gadolinium oxide”, Appl. Phys. Lett., 2009 (submitted).
  • [66] J. W. Johnson et al., “Gd2O3/GaN metal-oxide-semiconductor fieldeffect transistor”, Appl. Phys. Lett., vol. 77, iss. 20, pp. 3230–3232, 2000.
  • [67] M. Hong et al., “Single-crystal GaN/Gd2O3/GaN heterostructure”, J. Vac. Sci. Technol. B, vol. 20, iss. 3, pp. 1274–1277, 2002.
  • [68] M. Hong, M. Passlack, J. P. Mannaerts, J. Kwo, S. N. G. Chu, N. Moriya, S. Y. Hou, and V. J. Fratello, “Low interface state density oxide-GaAs structures fabricated by in situ molecular beam epitaxy”, J. Vac. Sci. Technol. B, vol. 14, iss. 3, pp. 2297–2300, 1996.
  • [69] M. Hong, J. Kwo, A. R. Kortan, J. P. Mannaerts, and A. M. Sergent, “Epitaxial cubic gadolinium oxide as a dielectric for gallium arsenide passivation”, Science, vol. 283, no. 5409, pp. 1897–1900, 1999.
  • [70] D. Jia, L. Lu, and W. M. Yu, “Erbium energy levels relative to the band gap of gadolinium oxide”, Opt. Commun., vol. 212, iss. 1–3, pp. 97–100, 2002.
  • [71] A. Fissel, M. Czernohorsky, and H. J. Osten, “Characterization of crystalline rare-earth oxide high-k dielectrics grown by molecular beam epitaxy on silicon carbide”, J. Vac. Sci. Technol. B, vol. 24, no. 4, pp. 2115–2118, 2006.
  • [72] H. D. B. Gottlob et al., “0.86-nm CET gate stacks with epitaxial Gd2O3 high-k dielectrics and FUSI NiSi metal electrodes”, IEEE Electron Dev. Lett., vol. 27, no. 10, pp. 814–816, 2006.
  • [73] M. Czernohorsky, D. Tetzlaff, E. Bugiel, R. Dargis, H. J. Osten, H. D. B. Gottlob, M. Schmidt, M. C. Lemme, and H. Kurz, “Stability of crystalline Gd2O3 thin films on silicon during rapid thermal annealing”, Semicond. Sci. Technol., vol. 23, no. 3, pp. 035010-1–035010-4, 2008.
  • [74] M. Schmidt, A. Stefani, H. D. B. Gottlob, and H. Kurz, “Integration of Gd silicate/TiN gate stacks into SOI n-MOSFETs”, Microelectron. Eng., vol. 86, iss. 7–9, pp. 1683–1685, 2009.
  • [75] D. Landheer, X. Wu, J. Morais, I. J. R. Baumvol, R. P. Pezzi, L. Miotti, W. N. Lennard, and J. K. Kim, “Thermal stability and diffusion in gadolinium silicate gate dielectric films”, Appl. Phys. Lett., vol. 79, no. 16, pp. 2618–2620, 2001.
  • [76] B. W. Busch, J. Kwo, M. Hong, J. P. Mannaerts, B. J. Sapjeta, W. H. Schulte, E. Garfunkel, and T. Gustafsson, “Interface reactions of high-k Y2O3 gate oxides with Si”, Appl. Phys. Lett., vol. 79, iss. 15, pp. 2447–2449, 2001.
  • [77] D. Niu, R. W. Ashcraft, and G. N. Parsons, “Water absorption and interface reactivity of yttrium oxide gate dielectrics on silicon”, Appl. Phys. Lett., vol. 80, iss. 19, pp. 3575–3577, 2002.
  • [78] A. Goryachko, J. P. Liu, D. Krüger, H. J. Osten, E. Bugiel, R. Kurps, and V. Melnik, “Thermal stability of Pr2O3 films grown on Si(100) substrate”, J. Vac. Sci. Technol. A, vol. 20, iss. 6, pp. 1860–1866, 2002.
  • [79] S. Miyazaki, H. Nishimura, M. Fukuda, L. Ley, and J. Ristein, “Structure and electronic states of ultrathin SiO2 thermally grown on Si(100) and Si( 111) surfaces”, Appl. Surf. Sci., vol. 113–114, pp. 585–589, 1997.
  • [80] S. Miyazaki, “Characterization of high-k gate dielectric/silicon interfaces”, Appl. Surf. Sci., vol. 190, iss. 1–4, pp. 66–74, 2002.
  • [81] I. Z. Mitrovic et al., “Shift in the band-offsets and dominant trap levels in Gd-based high-k gate stacks”, J. Appl. Phys., 2009 (in preparation).
  • [82] A. Fissel, J. Dabrowski, and H. J. Osten, “Photoemission and ab initio theoretical study of interface and film formation during epitaxial growth and annealing of praseodymium oxide on Si(001)”, J. Appl. Phys., vol. 91, iss. 11, pp. 8986–8991, 2002.
  • [83] S. Toyoda et al., “Precise determination of band offsets and chemical states in SiN/Si studied by photoemission spectroscopy and x-ray absorption spectroscopy”, Appl. Phys. Lett., vol. 87, iss. 10, pp. 102901-1–102901-3, 2005.
  • [84] Y. Liu, T. P. Chen, L. Ding, S. Zhang, Y. Q. Fu, and S. Fung, “Charging mechanism in a SiO2 matrix embedded with Si nanocrystals”, J. Appl. Phys., vol. 100, iss. 9, pp. 096111-1–096111-3, 2006.
  • [85] T. Hattori, T. Yoshida, T. Shiraishi, K. Takahashi, H. Nohira, S. Joumori, K. Nakajima, M. Suzuki, K. Kimura, I. Kashiwagi, C. Ohshima, S. Ohmi, and H. Iwai, “Composition, chemical structure, and electronic band structure of rare earth oxide/Si(100) interfacial transition layer”, Microelectron. Eng., vol. 72, iss. 1–4, pp. 283–287, 2004.
  • [86] H. Wang, J.-J. Wang, R. Gordon, J.-S. M. Lehn, H. Li, D. Hong, and D. V. Shenai, “Atomic layer deposition of lanthanum-based ternary oxides”, Electrochem. Solid-State Lett., vol. 12, no. 4, pp. G13–G15, 2009.
  • [87] J. M. J. Lopes, U. Littmark, M. Roeckerath, S. Lenk, J. Schubert, and S. Mantl, “Effects of annealing on the electrical and interfacial properties of amorphous lanthanum scandate high-k films prepared by molecular beam deposition”, J. Appl. Phys., vol. 101, iss. 10, pp. 104109-1–104109-5, 2007.
  • [88] J. M. J. Lopes, M. Roeckerath, T. Heeg, U. Littmark, J. Schubert, S. Mantl, Y. Jia, and D. G. Schlom, “La-based ternary rare-earth oxides as alternative high-k dielectrics”, Microelectron. Eng., vol. 84, iss. 9–10, pp. 1890–1893, 2007.
  • [89] J. M. J. Lopes et al., “Amorphous lanthanum lutetium oxide thin films as an alternative high-k gate dielectric”, Appl. Phys. Lett., vol. 89, iss. 22, pp. 222902-1–222902-3, 2006.
  • [90] J. M. J. Lopes, M. Roeckerath, T. Heeg, J. Schubert, S. Mantl, and V. V. Afanas’ev, “Amorphous lantahnum lutetium oxide thin films as an alternative high-k material”, ECS Trans., vol. 11, no. 4, pp. 311–318, 2007.
  • [91] K. H. Kim, D. B. Farmer, J.-S. M. Lehn, P. V. Rao, and R. G. Gordon, “Atomic layer deposition of gadolinium scandate films with high dielectric constant and low leakage current”, Appl. Phys. Lett., vol. 89, iss. 13, pp. 133512-1–133512-3, 2006.
  • [92] L. F. Edge, D. G. Schlom, P. Sivasubramani, R. M. Wallace, B. Holländer, and J. Schubert, “Electrical characterization of amorphous lanthanum aluminate thin films grown by molecularbeam deposition on silicon”, Appl. Phys. Lett., vol. 88, iss. 11, pp. 112907-1–112907-3, 2006.
  • [93] M. Suzuki, T. Yamaguchi, N. Fukushima, and M. Koyama, “LaAlO3 gate dielectric with ultrathin equivalent oxide thickness and ultralow leakage current directly deposited on Si substrate”, J. Appl. Phys., vol. 103, iss. 3, pp. 034118-1–034118-5, 2008.
  • [94] X. B. Lu, Z. G. Liu, Y. P. Wang, Y. Yang, X. P. Wang, H. W. Zhou, and B. Y. Nguyen, “Structure and dielectric properties of amorphous LaAlO3 and LaAlOxNy films as alternative gate dielectric materials”, J. Appl. Phys., vol. 94, iss. 2, pp. 1229–1234, 2003.
  • [95] M. Suzuki, M. Tomita, T. Yamaguchi, and N. Fukushima, “Ultrathin (EOT=3°A) and low leakage dielectrics of La-aluminate directly on Si substrate fabricated by high temperature deposition”, in Proc. IEEE IEDM Tech. Dig., Washington, USA, 2005, pp. 433–436.
  • [96] A. Laha, A. Fissel, E. Bugiel, H. J. Osten, “Crystalline ternary rare earth oxide with capacitance equivalent thickness below 1 nm for high-k application”, Appl. Phys. Lett., vol. 88, iss. 17, pp. 172107-1–172107-3, 2006.
  • [97] T.-M. Pan, C.-S. Liao, H.-H. Hsu, C.-L. Chen, J.-D. Lee, and K.-T. Wang, “Excellent frequency dispersion of thin gadolinium oxide high-k gate dielectrics”, Appl. Phys. Lett., vol. 87, iss. 26, pp. 262908-1–262908-3, 2005.
  • [98] A. N. Nazarov et al., “Charge trapping in ultrathin Gd2O3 high-k dielectric”, Microelectron. Eng., vol. 84, iss. 9–10, pp. 1968–1971, 2007.
Typ dokumentu
Bibliografia
Identyfikator YADDA
bwmeta1.element.baztech-article-BATA-0008-0006
JavaScript jest wyłączony w Twojej przeglądarce internetowej. Włącz go, a następnie odśwież stronę, aby móc w pełni z niej korzystać.