PL EN


Preferencje help
Widoczny [Schowaj] Abstrakt
Liczba wyników
Tytuł artykułu

Challenges for 10 nm MOSFET process integration

Treść / Zawartość
Identyfikatory
Warianty tytułu
Języki publikacji
EN
Abstrakty
EN
An overview of critical integration issues for future generation MOSFETs towards 10 nm gate length is presented. Novel materials and innovative structures are discussed. The need for high-k gate dielectrics and a metal gate electrode is discussed. Different techniques for strain-enhanced mobility are discussed. As an example, ultra thin body SOI devices with high mobility SiGe channels are demonstrated.
Rocznik
Tom
Strony
25--32
Opis fizyczny
Bibliogr. 34 poz., rys.
Twórcy
autor
autor
autor
autor
autor
autor
  • School of Information Technology, KTH - Royal Institute of Technology, P.O. Box 229 164 40 Kista, Sweden, ostling@imit.kth.se
Bibliografia
  • [1] International Technology Roadmap for Semiconductors (ITRS), 2005, http://www.itrs.net/Common/2005ITRS/Home2005.htm
  • [2] A. Dixit, A. Kottantharayil, N. Collaert, M. Goodwin, M. Jurczak, and K. De Meyer, “Analysis of the parasitic S/D resistance in multiple-gate FETs”, IEEE Trans. Electron Dev., vol. 52, pp. 1132–1140, 2005.
  • [3] J. Kedzierski, P. Xuan, E. H. Anderson, J. Bokor, T.-J. King, and C. Hu, “Complementary silicide source/drain thin-body MOSFETs for the 20 nm gate length regime”, in Tech. Dig. IEDM, San Francisco, USA, pp. 57–60, 2000.
  • [4] D. Hisamoto, W.-C. Lee, J. Kedzierski, H. Takeuchi, K. Asano, C. Kuo, E. Anderson, T.-J. King, J. Bokor, and C. Hu, “FinFET-a self-aligned double-gate MOSFET scalable to 20 nm”, IEEE Trans. Electron Dev., vol. 47, pp. 2320–2325, 2000.
  • [5] J.-W. Yang and J. G. Fossum, “On the feasibility of nanoscale triple-gate CMOS transistors”, IEEE Trans. Electron Dev., vol. 52, pp. 1159–1164, 2005.
  • [6] L. Mathew, M. Sadd, S. Kalpat, M. Zavala, T. Stephens, R. Mora, S. Bagchi, C. Parker, J. Vasek, D. Sing, R. Shimer, L. Prabhu, G. O. Workman, G. Ablen, Z. Shi, J. Saenz, B. Min, D. Burnett, B.-Y. Nguyen, J. Mogab, M. M. Chowdhury, W. Zhang, and J. G. Fossum, “Inverted T channel FET (ITFET) – fabrication and characteristics of vertical-horizontal, thin body, multi-gate, multiorientation devices, ITFET SRAM bit-cell operation. A novel technology for 45 nm and beyond CMOS”, in Tech. Dig. IEDM, Washington, USA, 2005, pp. 731–734.
  • [7] S. D. Suk, S.-Y. Lee, S.-M. Kim, E.-J. Yoon, M.-S. Kim, M. Li, Ch. W. Oh, K. H. Yeo, S. H. Kim, D.-S. Shin, K.-H. Lee, H. S. Park, J. N. Han, C. J. Park, and J.-B. Park, “High performance 5 nm radius twin silicon nanowire MOSFET (TSNWFET): fabrication on bulk Si wafer, characteristics, and reliability”, in Tech. Dig. IEDM, Washington, USA, 2005, pp. 735–738.
  • [8] J. H°allstedt, P.-E. Hellström, Z. Zhang, B. G. Malm, J. Edholm, J. Lu, S.-L. Zhang, H. H. Radamson, and M. Östling, “A robust spacer gate process for deca-nanometer high-frequency MOSFETs”, Microelectron. Eng., vol. 83, pp. 434–439, 2006.
  • [9] Y.-K. Choi, T.-J. King, and C. Hu, “A spacer patterning technology for nanoscale CMOS”, IEEE Trans. Electron Dev., vol. 49, pp. 436–441, 2002.
  • [10] Y.-K. Choi, T.-J. King, and C. Hu, “Spacer FinFET: nanoscale double-gate CMOS technology for the terabit era”, Solid-State Electron., vol. 46, pp. 1595–1601, 2002.
  • [11] S. Gannavaram, N. Pesovic, and C. Ozturk, “Low temperature (800◦C) recessed junction selective silicon-germanium source/drain technology for sub-70 nm CMOS”, in Tech. Dig. IEDM, San Francisco, USA, 2000, pp. 437–440.
  • [12] C. Isheden, P.-E. Hellström, H. H. Radamson, S.-L. Zhang, and M. Östling, “MOSFETs with recessed SiGe source/drain junctions formed by selective etching and growth”, Electrochem. Solid State Lett., vol. 7, pp. G53–G55, 2004.
  • [13] M. A. Quevedo-Lopez, S. A. Krishnan, P. D. Kirsch, H. J. Li, J. H. Sim, C. Huffman, J. J. Peterson, B. H. Lee, G. Pant, B. E. Gnade, M. J. Kim, R. M. Wallace, D. Guo, H. Bu, and T. P. Ma, “High performance gate first HfSiON dielectric satisfying 45 nm node requirements”, in Tech. Dig. IEDM, Washington, USA, 2005, pp. 237–240.
  • [14] M. von Haartman, J. Westlinder, D. Wu, B. G. Malm, P. E. Hellstr ¨om, J. Olsson, and M. ¨Ostling, “Low-frequency noise and Coulomb scattering in Si0.8Ge0.2 surface channel pMOSFETs with ALD Al2O3 gate dielectrics”, Solid-State Electron., vol. 49, pp. 907–914, 2005.
  • [15] H. Iwai, S. Ohmi, S. Akama, C. Ohshima, A. Kikuchi, I. Kashiwagi, J. Taguchi, H. Yamamoto, J. Tonotani, Y. Kim, I. Ueda, A. Kuriyama, and Y. Yoshihara, “Advanced gate dielectric materials for sub-100 nm CMOS”, in Tech. Dig. IEDM, San Francisco, USA, 2002, pp. 625–628.
  • [16] A. C. Jones, H. C. Aspinall, P. R. Chalker, R. J. Potter, K. Kukli, A. Rahtu, M. Ritala, and M. Leskela, “Recent developments in the MOCVD and ALD of rare earth oxides and silicates”, Mater. Sci. Eng. B (Solid-State Mater. Adv. Technol.), vol. 118, pp. 97–104, 2005.
  • [17] N. R. Mohapatra, M. P. Desai, S. G. Narendra, and V. R. Rao, “The effect of high-k gate dielectrics on deep submicrometer CMOS device and circuit performance”, IEEE Trans. Electron Dev., vol. 49, pp. 826–831, 2002.
  • [18] D. Wu, A.-C. Lindgren, S. Persson, G. Sjöblom, M. von Haartman, J. Seger, P.-E. Hellström, J. Olsson, H.-O. Blom, S.-L. Zhang, M. Östling, E. Vainonen-Ahlgren, W.-M. Li, E. Tois, and M. Tuominen, “A novel strained Si0.7Ge0.3 surface-channel pMOSFET with an ALD TiN/Al2O3//HfAlOx /Al2O3 gate stack”, IEEE Electron Dev. Lett., vol. 24, pp. 171–173, 2003.
  • [19] L.-°A. Ragnarsson, S. Severi, L. Trojman, D. P. Brunco, K. D. Johnson, A. Delabie, T. Schram, W. Tsai, G. Groeseneken, K. de Meyer, S. de Gendt, and M. Heyns, “High performing 8 °A EOT HfO2/TaN low thermalbudget n-channel FETs with solid-phase epitaxially regrown (SPER) junctions”, in Tech. Dig. VLSI Symp., Kyoto, Japan, 2005, pp. 234–235.
  • [20] H.-C. Wen, K. Choi, P. Majhi, H. Alshareef, C. Huffman, and B. H. Lee, “A systematic study of the influence of nitrogen in tuning the effective work function of nitrided metal gates”, in IEEE Int. Symp. VLSI Technol., Hsinchu, Taiwan, 2005, pp. 105–106.
  • [21] H. Wakabayashi, Y. Saito, K. Takeuchi, T. Mogami, and T. Kunio, “A dual-metal gate CMOS technology using nitrogen-concentration- controlled TiNx film”, IEEE Trans. Electron Dev., vol. 48, pp. 2363–2369, 2001.
  • [22] Y. H. Kim, C. Cabral Jr., E. P. Gusev, R. Carruthers, L. Gignac, M. Gribelyuk, E. Cartier, S. Zafar, M. Copel, V. Narayanan, J. Newbury, B. Price, J. Acevedo, P. Jamison, B. Linder, W. Natzle, J. Cai, R. Jammy, and M. Ieong, “Systematic study of workfunction engineering and scavenging effect using NiSi alloy FUSI metal gates with advanced gate stacks”, in Tech. Dig. IEDM, Washington, USA, 2005, pp. 657–660.
  • [23] A. Lauwers, A. Veloso, T. Hoffmann, M. J. H. van Dal, C. Vrancken, S. Brus, S. Locorotondo, J.-F. de Marneffe, B. Sijmus, S. Kubicek, T. Chiarella, M. A. Pawlak, K. Opsomer, M. Niwa, R. Mitsuhashi, K. G. Anil, H. Y. Yu, C. Demeurisse, R. Verbeeck, M. de Potter, P. Absil, K. Maex, M. Jurczak, S. Biesemans, and J. A. Kittl, “CMOS integration of dual work function phase controlled Ni FUSI with simultaneous silicidation of NMOS (NiSi) and PMOS (Ni-rich silicide) gates on HfSiON”, in Tech. Dig. IEDM, Washington, USA, 2005, pp. 661–664.
  • [24] M. Leskelä and M. Ritala, “Atomic layer deposition (ALD): from precursors to thin film structures”, Thin Solid Films, vol. 409, pp. 138–146, 2002.
  • [25] C. Claeys, E. Simoen, A. Mercha, L. Pantisano, and E. Young, “Lowfrequency noise performance of HfO2 based gate stacks”, J. Elec- trochem. Soc., vol. 152, pp. F115–F123, 2005.
  • [26] D. Wu, H. Radamson, P.-E. Hellström, S.-L. Zhang, M. Östling, E. Vainonen-Ahlgren, E. Tois, and M. Tuominen, “Influence of surface treatment prior to ALD high-k dielectrics on the performance of SiGe surface-channel pMOSFETs”, IEEE Electron Dev. Lett., vol. 25, pp. 289–291, 2004.
  • [27] M. von Haartman, D. Wu, B. G. Malm, P. E. Hellstrom, S. L. Zhang, and M. ¨Ostling, “Low-frequency noise in Si0.7Ge0.3 surface channel pMOSFETs with ALD HfO2/Al2O3 gate dielectrics”, Solid-State Electron., vol. 48, pp. 2271–2275, 2004.
  • [28] M. von Haartman, B. G. Malm, and M. ¨Ostling, “Comprehensive study on low-frequency noise and mobility in Si and SiGe pMOSFETs with high-k gate dielectrics and TiN gate”, IEEE Trans. Elec- tron Dev., vol. 53, pp. 836–843, 2006.
  • [29] M. von Haartman, A.-C. Lindgren, P.-E. Hellström, M. Östling, T. Ernst, L. Brévard, and S. Deleonibus, “Influence of gate width on 50 nm gate length Si0.7Ge0.3 channel PMOSFETs”, in Proc. 33rd Eur. Solid-State Dev. Res. Conf. ESSDERC’03, Estoril, Portugal, 2003, pp. 529–532.
  • [30] S. E. Thompson, M. Armstrong, C. Auth, S. Cea, R. Chau, G. Glass, T. Hoffman, J. Klaus, Z. Ma, B. Mcintyre, A. Murthy, B. Obradovic, L. Shifren, S. Sivakumar, S. Tyagi, T. Ghani, K. Mistry, M. Bohr, and Y. El-Mansy, “A logic nanotechnology featuring strained-silicon”, IEEE Electron Dev. Lett., vol. 25, pp. 191–193, 2004.
  • [31] E. Kasper and K. Lyutovich, “Strain adjustment with thin virtual substrates”, Solid-State Electron., vol. 48, pp. 1257–1263, 2004.
  • [32] P.-E. Hellström, J. Edholm, M. Östling, S. Olsen, A. O’Neill, K. Lyutovich, M. Oehme, and E. Kasper, “Strained-Si NMOSFETs on thin 200 nm virtual substrates”, in Solid State Dev. Res. Symp., Bethesda, USA, 2005.
  • [33] J. Seger, P. E. Hellstr ¨om, J. Lu, B. G. Malm, M. von Haartman, M. ¨Ostling, and S. L. Zhang, “Lateral encroachment of Ni-silicides in the source/drain regions on ultrathin silicon-on-insulator”, Appl. Phys. Lett., vol. 86, pp. 253507–1, 2005.
  • [34] J. Seger, “Interaction of Ni with SiGe for electrical contacts in CMOS technology”, Ph.D. thesis, KTH – Royal Institute of Technology, Sweden, 2005.
Typ dokumentu
Bibliografia
Identyfikator YADDA
bwmeta1.element.baztech-article-BAT8-0008-0007
JavaScript jest wyłączony w Twojej przeglądarce internetowej. Włącz go, a następnie odśwież stronę, aby móc w pełni z niej korzystać.