PL EN


Preferencje help
Widoczny [Schowaj] Abstrakt
Liczba wyników
Tytuł artykułu

Cost-efficient synthesis of multiprocessor heterogeneous systems

Autorzy
Treść / Zawartość
Identyfikatory
Warianty tytułu
Języki publikacji
EN
Abstrakty
EN
In this paper an algorithm for co-synthesis of distributed embedded systems is presented. The algorithm is based on iterative improvement heuristics, taking into consideration sophisticated modifications and possibilities of further improvements. Starting from the solution with the highest performance, architecture of the system is modified until it achieves the lowest cost. It has been observed that the algorithm presented has the capacity of getting out of the local minima. Experimental results showed high efficiency of the algorithm. Almost all results obtained with the help of the algorithm were significantly better than the results obtained with the help of Yen-Wolf algorithm presented in the literature.
Rocznik
Strony
341--355
Opis fizyczny
Bibliogr. 27 poz.
Twórcy
autor
  • Department of Computer Engineering, Cracow University of Technology, Warszawska 24, 31-155 Cracow, Poland, pedenizi@cyf-kr.edu.pl
Bibliografia
  • Bianco, L., Auguin, M. and Pegatoquet, A. (1998) A Path Analysis Based Partitioning for Time Constrained Embedded Systems.Proceedingsof the 6th International Workshop on Hardware/Software Codesign. IEEE Computer Society Press, Los Alamitos, 85–89.
  • Chatha, K.S. and Vemuri, R. (2001) MAGELLAN: Multiway Hardware-Software Partitioning and Scheduling for Latency Minimization of Hierarchical Control-Dataflow Task Graphs. Proceedings of the 9th International Workshop on Hardware/Software Codesign. ACM Press, New York, 42–47.
  • D’Ambrosio, J. and Hu, X. (1994)Configuration-Level Hardware/SoftwarePartitioning for Real-Time Systems. Proceedings of the 3rd International Workshop on Hardware/Software Codesign. IEEE Computer Society Press, Los Alamitos, 34–41.
  • Dave, B.P., Lakshminarayana, G. and Jha, N.K. (1997) COSYN: Hardware-Software Co-Synthesis of Embedded Systems. Proceedings of the 34th Design Automation Conference. ACM Press, New York, 703–708.
  • Dave, B.P. and Jha, N.K. (1998) CASPER: Concurrent Hardware-Software Co-Synthesis of Hard Real-Time Aperiodic and Periodic Specifications of Embedded Systems. Proceedings of the Conference on Design Automationand Test in Europe. IEEE Computer Society Press, Los Alamitos, 118–124.
  • Deniziak, S. and Sapiecha, K. (2001) Kosynteza rozproszonych systemów heterogenicznych. III Krajowa Konferencja: Metody i systemy komputerowe w badaniach naukowych i projektowaniu inżynierskim. AGH, Kraków, 437-442, in Polish.
  • Dick, R.P. and Jha, N.K. (1997) MOGAC: A multiobjective Genetic Algorithm for the Co-Synthesis of Hardware-Software Embedded Systems. Proceedings of the International Conference on Computer Aided Design. IEEE Computer Society Press, Los Alamitos, 522–529.
  • Dick, R.P. Jha, N.K. (1999) MOCSYN: Multiobjective Core-Based Single-Chip System Synthesis. Proceedings of the Conference on Design Automation and Test in Europe. IEEE Computer Society Press, Los Alamitos, 263–270.
  • Eles, P., Peng, Z., Kuchcinski, K. and Doboli, A. (1997) System Level Hardware/Software Partitioning Based on Simulated Annealing and Tabu Search. Design Automation for Embedded Systems 2 (1), 5–32.
  • Eles, P., Peng, Z., Kuchcinski, K., Doboli, A. and Pop, P. (1998) Scheduling of Conditional Process Graphs for the Synthesisof Embedded Systems. Proceedings of the Conference on Design Automation and Testin Europe. IEEE Computer Society Press, Los Alamitos, 132–138.
  • Gupta, R.J. and De Micheli, G. (1993) Hardware-Software Co-synthesis for Digital Systems. IEEE Design & Test10(3), 29–41.
  • Haubelt, C., Terich, J., Richter, K. and Ernst, R. (2002) System Design for Flexibility. Proceedings of the Conference on Design Automationand Test in Europe. IEEE Computer Society Press, Los Alamitos, 854–861.
  • Henkel, J. and Ernst, R. (1997) A Hardware/Software Partitioner usinga dynamically determined Granularity. Proceedings of the 34th Design Automation Conference. ACM Press, New York, 691–696.
  • Henkel, J. and Ernst, R. (1998) High-Level Estimation Techniques for Usage in Hardware/Software Co-Design. Proceedings of the Asia and South Pacific Automation Conference. IEEE Computer Society Press, Los Alamitos, 353–360.
  • Hou, J. and Wolf, W. (1996) Process partitioning for distributed embedded systems. Proceedings of the 4th International Workshop on Hardware/Software Codesign. IEEE Computer Society Press, Los Alamitos,70–76.
  • Kalavade, A. Lee, E.A. (1995) The Extended Partitioning Problem: Hardware/Software Mapping and Implementation-Bin Selection. Proceedingsof the 6th International Workshop on Rapid Systems Prototyping, IEEE Computer Society Press, Los Alamitos, 12–18.
  • Lee, T.Y., Hsiung, P.A. and Chen, S.J. (2001) Hardware-Software Multi-Level Partitioning for Distributed Embedded Multiprocessor Systems. IEICE Trans. Fundamentals E84-A (2), 614–626.
  • Oh, H. and Ha, S. (1999) A Hardware-Software Cosynthesis Technique Basedon Heterogeneous Multiprocessor Scheduling. Proceedings of the 7th International Workshop on Hardware/Software Codesign. ACM Press, New York, 183–187.
  • Oh, H. and Ha, S. (1999) Hardware-Software Cosynthesis of Multi-Mode Multi-Task Embedded Systems with Real-Time Constraints. Proceedings of the 10th International Workshop on Hardware/Software Codesign .ACM Press, New York, 133–138.
  • Prakash, S. and Parker, A. (1992) SOS: Synthesis of Aplication-Specific Heterogeneous Multiprocessor Systems. Journal of Parallel and Distrib. Comp.16, 338–351.
  • Saha, D., Mitra, R.S. and Basu, A. (1997) Hardware Software Partitioning using Genetic Algorithm. Proceedings of the International Conferenceon VLSI Design. IEEE Computer Society Press, Los Alamitos, 155–160.
  • Schmitz, M.T., Al-Hashimi, B.M. and Eles, P. (2002) Energy-Efficient Mapping and Scheduling for DVS Enabled Distributed Embedded Systems.Proceedings of the Conference on Design Automation and Testin Europe. IEEE Computer Society Press, Los Alamitos, 514–521.
  • Suzuki, K. and Sangiovanni-Vincentelli, A. (1996) Efficient Software Performance Estimation Methods for Hardware/Software Codesign. Proceedings of the 33rd Design Automation Conference. ACM Press, New York, 605–610.
  • Xie, Y. and Wolf, W. (2001) Allocation and scheduling of conditional taskgraph in harware/software co-synthesis. Proceedings of the Conference on Design Automation and Test in Europe. IEEE Computer Society Press, Los Alamitos, 620–625.
  • Yen, T.Y. and Wolf, W.H. (1995A) Sensitivity-Driven Co-Synthesis of Distributed Embedded Systems. Proceedings of the International Symposiumon System Synthesis. ACM Press, New York, 4–9.
  • Yen, T.Y. and Wolf, W.H. (1995A) Communication synthesis for distributed embedded systems. Proceedings of the International Conference on Computer Aided Design. IEEE Computer Society Press, Los Alamitos, 288–294.
  • Yen, T.Y. and Wolf, W.H. (1998) Performance Estimation for Real-Time Distributed Embedded Systems. IEEE Transactions on Parallel and Distributed Systems 9 (11), 1125–1136.
Typ dokumentu
Bibliografia
Identyfikator YADDA
bwmeta1.element.baztech-article-BAT5-0007-0058
JavaScript jest wyłączony w Twojej przeglądarce internetowej. Włącz go, a następnie odśwież stronę, aby móc w pełni z niej korzystać.