PL EN


Preferencje help
Widoczny [Schowaj] Abstrakt
Liczba wyników
Tytuł artykułu

High-Level Design Methodology for Ultra-Fast Software Defined Radio Prototyping on Heterogeneous Platform

Autorzy
Wybrane pełne teksty z tego czasopisma
Identyfikatory
Warianty tytułu
Języki publikacji
EN
Abstrakty
EN
The design of Software Defined Radio (SDR) equipments (terminals, base stations, etc.) is still very challenging. We propose here a design methodology for ultra-fast prototyping on heterogeneous platforms made of GPPs (General Purpose Processors), DSPs (Digital Signal Processors) and FPGAs (Field Programmable Gate Array). Lying on a component-based approach, the methodology mainly aims at automating as much as possible the design from an algorithmic validation to a multi-processing heterogeneous implementation. The proposed methodology is based on the SynDEx CAD design approach, which was originally dedicated to multi-GPPs networks. We show how this was changed so that it is made appropriate with an embedded context of DSP. The implication of FPGAs is then addressed and integrated in the design approach with very little restrictions. Apart from a manual HW/SW partitioning, all other operations may be kept automatic in a heterogeneous processing context. The targeted granularity of the components, which are to be assembled in the design flow, is roughly the same size asthat of a FFT, a filter or a Viterbi decoder for instance. The reuse of third party or predeveloped IPs is a basis for this design approach. Thanks to the proposed design methodology it is possible to port “ultra” fast a radio application over several platforms. In addition, the proposed design methodology is not restricted to SDR equipment design, and can be useful for any real-time embedded heterogeneous design in a prototyping context.
Rocznik
Strony
67--85
Opis fizyczny
Bibliogr. 53 poz., fig.
Twórcy
autor
  • SUPELEC/IETR, Rennes, France
autor
  • INSA/IETR, Image Group, Rennes, France
Bibliografia
  • [1] J. Mitola, “The software Radio Architecture,” IEEE Commun. Mag., pp. 26–38, May 1995.
  • [2] J. Reed and B. D. Woerner, Software Radio: A Modern Approach to Radio Engineering. Prentice Hall, 2002.
  • [3] W. Tuttlebee, Software Defined Radio: Origins, Drivers and International Perspectives. John Wiley & Sons, 2002.
  • [4] A. A. Kountouris, C. Moy, and L. Rambaud, “Reconfigurability: A Key Property in Software Radio Systems,” in Proc. First Karlsruhe Workshop on Software Radios, Karlsruhe, Germany, Mar. 2000.
  • [5] P. Demestichas, G. Vivier, K. El-Khazem, and M. Theologou, “Evolution in Wireless Systems Management Concepts: from Composite Radio Environments to Reconfigurability,” IEEE Commun. Mag., May 2004.
  • [6] A. A. Kountouris and C. Moy, “Reconfiguration in Software Radio Systems,” in Proc. Second Karlsruhe Workshop on Software Radios,Karlsruhe, Germany, Mar. 2002.
  • [7] J. Delahaye, C. Moy, P. Leray, and J. Palicot, “Managing Dynamic Partial Reconfiguration on Heterogeneous SDR Platforms,” in Proc. SDR Forum Technical Conference, Anaheim, USA, Nov. 2005.
  • [8] X. Revés, A. Gelonch, V. Marojevic, and R. Ferrús, “Software radios: Unifying the reconfiguration process over heterogeneous platforms,” EURASIP Journal on Applied Signal Processing, vol. 2005, no. 16, Sep. 2005.
  • [9] S. Paquelet, C. Moy, and L-M.Aubert, “RF Front-End Considerations for SDR Ultra-Wideband Communications Systems,” RF Design, Jul. 2004.
  • [10] C. R. Anderson, “A Software Defined Ultra Wideband Transceiver Testbed for Communications, Ranging, or Imaging,” PhD dissertation, Virginia Tech, 2007.
  • [11] J.-P. Delahaye, C. Moy, P.Leray, and J. Palicot, “Partial Reconfiguration of FPGAs for Dynamical Reconfiguration of a Software Radio Platform,” in Proc. of IST Mobile and Wireless Communications Summit, Budapest, Hungary, Jun. 2007.
  • [12] G. Gogniat, T.Wolf,W. Burleson, J.-P. Diguet, L. Bossuet, and R. Vaslin, “Reconfigurable Hardware for High-Security/ High-Performance Embedded Systems: The SAFES Perspective,” IEEE Trans. VLSI Syst., vol. 16, no. 2, pp. 144–155, Feb. 2008.
  • [13] J. Bier, “Use a Microprocessor, a DSP or both?” in Proc. Embedded Systems Conference, Apr. 2007.
  • [14] C. Szyperski, Component Software, Beyond Object-Oriented Programming. Addison-Wesley, 1998.
  • [15] Www.omgmarte.org.
  • [16] C. Moy, M. Raulet, S. Rouxel, J. Diguet et al., “UML Profiles for aveform Signal Processing Systems Abstraction,” in Proc. of SDR Forum Technical Conference, Phoenix, USA, Nov. 2004.
  • [17] J. Laurent, E. Senn, N. Julien, and E. Martin, “Functional Level Power Analysis: An Efficient Approach for Modeling the Power Consumption of Complex Processors,” in Proc. DATE04, Paris, France, 2004.
  • [18] D. Elléouet, Y. Savary, and N. Julien, “An FPGA Power Aware Design Flow,” Lecture Notes in Computer Science, Springer, vol. 4148, 2006.
  • [19] S. Rouxel, J. Diguet, N. Bulteau, J. Carre-Gourdin, J. Goubard, and C. Moy, “UML Framework for PIM and PSM Verification of SDR Systems,” in Proc. of SDR Forum Technical Conference, Anaheim, USA, Nov. 2005.
  • [20] Joint Tactical Radio System (JTRS) Standard Modem Hardware Abstraction Layer Application Program Interface, May 2007, version 2.11.1.
  • [21] G. Gaillard, E. Nicollet, M. Sarlotte, and F. Verdier, “Transaction Level Modelling of SCA compliant Software Defined Radio Waveforms and Platforms PIM/PSM,” in Proc. of DATE, 2007.
  • [22] C. Lucarz, M. Mattavelli, J. Thomas-Kerr, and J. Janneck, “Reconfigurable media coding: a new specification model for multimedia coders,” in Proc. of the IEEE Workshop on Signal Processing Systems, 2007, pp. 481–486.
  • [23] “Open SystemC Initiative, SystemC v2.0.1,” www.systemc.org.
  • [24] F. Charot, M. Nyamsi, P. Quinton, and C. Wagner, “Architecture Exploration for 3G Telephony Applications Using aHardwareSoftware Prototyping Platform,” in Proc. of Computer Systems: Architectures, Modeling and Simulation, Amos, Greece, 2003.
  • [25] A. G. Kleppe, J. Warmer, and W. Bast, MDA Explained - The Model Driven Architecture : Practice and Promise. Addison-Weslay, 2003.
  • [26] S. Rouxel, G. Gogniat, J. Diguet, J. Philippe, and C. Moy, “Schedulability Analysis and MDD,” in From MDD Concepts To Experiments And Illustrations, J. Babau, J. Champeau, and S. G´erard, Eds. Wiley, Sep. 2006, pp. 111–130.
  • [27] www.mopcom.fr.
  • [28] S. Lecomte, S. Guillouard, C. Moy, P. Leray, and P. Soulard, “A codesign methodology based on Model Driven Architecture for Real Time Embedded systems,” Mathematical and Computer Modelling Journal, ed. Elsevier, 2010, to be published.
  • [29] C. Moy, “High-Level Design Approach for the Specification of Cognitive Radio Equipments Management APIs,” Journal of Network and System Management - Special Issue on Management Functionalities for Cognitive Wireless Networks and Systems, Mar. 2010, to be published.
  • [30] B. Plunkett and J. Watson, Adapt2400 ACM Architecture Overview. QuickSilver Whitepaper, 2004.
  • [31] R. Rabineau, D. Lattard, Y. Durand, M. Lobeira, and J. Rossi, “Flexible Test-Bed for B3G Systems,” in Proc. of IST Mobile and Wireless Communications Summit, Mykonos, Greece, Jun. 2006.
  • [32] Y. Durand, C. Bernard, and D. Lattard, “FAUST: On-chip distributed architecture for a 4G baseband modem SoC,” in Design & Reuse IPSoC, Grenoble, France, Dec. 2005.
  • [33] V. Bose, “Design and Implementation of Software Radio Using a General Purpose Processor,” Ph.D. thesis, MIT, Jun. 1999.
  • [34] T. Turletti and D. Tennenhouse, “Complexity of a Software GSM Base Station,” IEEE Commun. Mag., Feb. 1999.
  • [35] A. Hoffmann, H. Meyr, and R. Leupers, Architecture Exploration for Embedded Processors with LISA. Kluwer Academic Publishers, Dec. 2002.
  • [36] P. Coussy, C. Chavet, P. Bomel, D. Heller, E. Senn, and E. Martin, “GAUT: A High-Level Synthesis Tool for DSP applications,” in High-Level Synthesis: From Algorithm to Digital Circuit, P. Coussy and A. Morawiec, Eds. Springer, 2008.
  • [37] D. Kammler, L. Godard, and I. Gomez, “Second Report on ASIP Design Methodoloies,” NEWCOM, Tech. Rep. DR4.4, Feb. 2007.
  • [38] J.-P. Calvez and V. Perrier, “SOC Architecting and Design with CoFluent Studio, Concepts and. Methodology -Part I,” www.cofluent.com.
  • [39] M. Raulet, F. Urban, J. Nezan, C. Moy, O. Deforges, and Y. Sorel, “Rapid Prototyping for Heterogeneous Multicomponent Systems: an MPEG-4 Stream Over an UMTS Communication Link,” special issue on Design Methods for DSP Systems of Eurasip Journal on Applied Signal Processing, vol. 2006, pp. 1–13, 2006.
  • [40] J. Muttersbach, T. Villiger, H. Kaeslin, N. Felber, and W. Fichtner, “Globally-Asynchronous Locally-Synchronous Architectures to Simplify the Design of On-Chip Systems,” in Proc. of 12th IEEE International ASIC/SOC Conference, Sep. 1999, pp. 317–321.
  • [41] A. Rhiemeier, “Benefits and Limits of Parameterized Channel Coding for Software Radio,” in Proc. of 2nd Karlsruhe Workshop on Software Radios, Germany, Mar. 2002.
  • [42] J. Palicot and C. Roland, “FFT: a basic function for a reconfigurable receiver,” in Proc. of 10th International Conference on Telecommunications, vol. 1, 2003, pp. 898–902.
  • [43] C. Moy, J. Palicot, V. Rodriguez, and D. Giri, “Optimal determination of common operators for multi-standards software-defined radio,” in Proc. of 4th Karlsruhe Workshop on Software Radios, Karlsruhe, Germany, Mar. 2006.
  • [44] V. Rodriguez, C. Moy, and J. Palicot, “Install or invoke?: The optimal tradeoff between performance and cost in the design of multi-standard reconfigurable radios,” Wiley InterScience, Wireless Communications and Mobile Computing Journal, Special Issue on Cognitive Radio, Software Defined Radio And Adaptive Wireless Systems, vol. 7, no. 9, pp. 1143–1156, 2007.
  • [45] J.-P. Delahaye, “Plate-Forme Hétérogène Reconfigurable : Application à la Radio Logicielle,” Ph.D. thesis, Université de Rennes 1, Apr. 2007.
  • [46] www-rocq.inria.fr/syndex/.
  • [47] T. Grandpierre and Y. Sorel, “From algorithm and architecture specifications to automatic generation of distributed realtime executives: a seamless flow of graphs transformations,” in Proc. of 1st ACM and IEEE International Conference on Formal Methods and Models for Co-Design (MEMOCODE), Mont Saint-Michel, France, Jun. 2003, pp. 123–132.
  • [48] M. Raulet, M. Babel, J.-F. Nezan, O. Deforges, and Y.Sorel, “Automatic Coarse Grain Partitioning and Automatic Code Generation for Heterogeneous Architectures,” in Proc. SIPS, Seoul, Korea, Aug. 2003.
  • [49] T. Grandpierre, C. Lavarenne, and Y. Sorel, “Optimized rapid prototyping for real-time embedded heterogeneous multiprocessors,” in Proc. of 7th International Workshop on Hardware/Software Codesign (CODES), Rome, Italy, May 1999, pp. 74–78.
  • [50] M. Raulet, “Optimisations Mémoire dans la méthodologie adéquation Algorithme Architecture pour Code Embarqué sur Architectures Paralléles,” Ph.D. thesis, INSA of Rennes, France, 2006.
  • [51] A. Maccari, J. Nezan, F. Urban, and M. Raulet, “Interconnected distributed RAM in SynDEx,” in Workshop on Design and architectures for Signal and Image Processing, DASIP, Grenoble, France, 2007.
  • [52] F. Urban, M. Raulet, J.-F. Nezan, and O. Déforges, “Automatic DSP cache memory management and fast prototyping for multiprocessor image applications,” in 14th European Signal Processing Conference, Eusipco, Florence, Italy, Sep. 2006.
  • [53] J.-F. Nezan, O. Déforges, and M. Raulet, “Fast prototyping methodology for distribued and heterogeneous architectures: application to Mpeg-4 video tools,” Design Automation for Embedded Systems, 2005.
Typ dokumentu
Bibliografia
Identyfikator YADDA
bwmeta1.element.baztech-ab57a1c0-7e11-494f-9862-19fa022652b6
JavaScript jest wyłączony w Twojej przeglądarce internetowej. Włącz go, a następnie odśwież stronę, aby móc w pełni z niej korzystać.