PL EN


Preferencje help
Widoczny [Schowaj] Abstrakt
Liczba wyników
Tytuł artykułu

Crosstalk Noise Aware System For WDM-Based Optical Network on Chip

Autorzy
Treść / Zawartość
Identyfikatory
Warianty tytułu
Języki publikacji
EN
Abstrakty
EN
Network on chip (NoC) is presented as a promising solution to face off the growing up of the data exchange in the multiprocessor system-on-chip (MPSoC). However, the traditional NoC faces two main problems: the bandwidth and the energy consumption. To face off these problems, a new technology in MPSoC, namely, optical network-on-chip (ONoC) has been introduced which it uses the optical communication to guaranty a high performance in communication between cores. In addition, wavelength division multiplexing (WDM) is exploited in ONoC to reach a high rate of bandwidth. Nevertheless, the transparency nature of the ONoC components induce crosstalk noise to the optical signals, which it has a direct effect to the signal-to-noise ratio (SNR) then decrease the performance of the ONoC. In this paper, we proposed a new system to control these impairments in the network in order to detect and monitor crosstalk noise in WDM-based ONoC. Furthermore, the crosstalk monitoring system is a distributed hardware system designed and test with the different optical components according the various network topology used in ONoC. The register-transfer level (RTL) hardware design and implementation of this system can result in high reliability, scalability and efficiency with running time less than 20 ms.
Rocznik
Strony
497--505
Opis fizyczny
Bibliogr. 20 poz., wykr., rys., tab.
Twórcy
autor
  • Faculty of National Engineering School, University of Sfax, Tunisia and Computer Engineering department, College of Engineering, Ahlia University, Bahrain
Bibliografia
  • [1] Bonetto. E, Chiaraviglio. L, Cuda. D, Gavilanes. G.A., and Neri. F, Optical technologies can improve the energy efficiency of networks, Proceedings of the 35th European Conference on Optical Communication, 2009, Vienna, Austria, 14.
  • [2] Cianchetti. M.J, Kerekes. J.C, and Albonesi. D.H, Phastlane: A rapid transit optical routing network, J. Proceedings of the 36th International Symposium on Computer Architecture, 441450, 2009, Austin, TX, USA.
  • [3] Nikdast. M, Xu. J, Duong. L.H.K, Xiaowen. W, Xuan. W, Zhehui. W, Zhe. W, Peng. Y, Yaoyao. Y, and Qinfen. H,Crosstalk Noise in WDM based Optical Networks-on-Chip: a Formal Study and Comparison,IEEE Transactions on Very Large Scale Integration Systems, vol.23, no.11, 2552-2565, 2015.
  • [4] Nikdast. M, Jiang. X, Xiaowen. W, Wei. Z, Yaoyao. Y, Xuan. W, Zhehui. W, and Zhe. W ,Systematic analysis of crosstalk noise in folded-torus based optical networks-on-chip,IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 33, no. 3, 437450, 2014.
  • [5] Yiyuan. X, Jiang. X, Jianguo. Z, Zhengmao. W, and Guangqiong. X,Crosstalk Noise Analysis and Optimization in 5x5 Hitless Silicon-Based Optical Router for Optical Networks-on-Chip (ONoC),Journal of Lightwave Technology, Vol 30, No.1, 198-203, 2012.
  • [6] Fabrizio. G, Stefano. F, Paolo. P, Nicola. A, and Isabella. C,BER evaluation of a low-crosstalk silicon integrated multi-microring networkon-chip,Optics express journal, Vol. 23, Issue 13, 17169-17178, 2015.
  • [7] Edoardo. F, and Alesandro. C, SCrosstalk-Aware Automated Mapping for Optical Networks-on-Chip, ACM Transactions on Embedded Computing Systems, Vol. 16, No. 1, Article 16, 1-26., 2016
  • [8] Xie. Y, Nikdast. M, Jiang. X, Wei. Z, Qi. L, Xiaowen. W, Yaoyao. Y, and Xuan. W,Crosstalk noise and bit error rate analysis for optical networkon-chip,Proceedings of the 47th Design Automation Conference on - DAC ’10, 657660. ,2010, Anaheim, California,
  • [9] Zhe. Z, and YAOYAO. Y, Learning-Based Thermal-Sensitive Power Optimization Approach for Optical NoCs, CM Journal on Emerging Technologies in Computing Systems (JETC) Volume 14 Issue 2, N21, 2018.
  • [10] Ding. W, Tang. D, Liu. Y, Chen. L, and Sun. X,,Compact and low crosstalk waveguide crossing using impedance matched metamaterial, Applied Physics Letters, vol. 96, 111114-1111114-3, 2010.
  • [11] Gu. H, Xu. J, and Zhang. W , A low-power fat tree-based optical network-on-chip for multiprocessor system-on-chip,Proceedings of the Design, Automation and Test in Europe Conference and Exhibition, 3-8, 2009, Nice, France.
  • [12] Luan. H.K, Zhehui. W, Nikdast. M, Jiang. X, Peng. Y, Zhifei. W, Zhe. W, Rafael. K.V.M, Haoran. L, Xuan. W, Sbastien. L.B, and Yvain. T,Coherent and Incoherent Crosstalk Noise Analyses in Inter/Intra-chip Optical Interconnection Networks,IEEE Transactions on Very Large Scale Integration Systems, vol. 24, no. 7, 2475-2487, 2016.
  • [13] Wang. Z, Xu. J, Wu. X, Ye. Y, Zhang. W, Nikdast. M, Wang. X, and Zhe. W, Floorplan optimization of fat-tree-based networks-on-chip for chip multiprocessors, IEEE Transactions on Computers, vol.63, no. 6, 14461459., 2014
  • [14] Zhang. L, Regentova. E.E, and Tan. X, Floorplan optimization of fattree-based networks-on-chip for chip multiprocessors, Proceeding of the Symposium on Photonics and Optoelectronics, 14., 2011, Wuhan, China.
  • [15] Chan. J, Hendry. G, Bergman. K, and Carloni. L.P , Physical-layer modelling and system-level design of chip-scale photonic interconnection networks, IEEE Trans. Comput.-Aided Design Integr. Circuits Systems, vol. 30, no. 10, 15071520, 2011.
  • [16] Jedidi. A, Regeb. R, and Abid. M , Detection and localization of crosstalk in an all-optical network, Journal of optics, volume 13, number1, Publisher IOP Science., 2011.
  • [17] Duong. L.H.K, Nikdast. M, Sebastien. L.B, Xu. J, Wu. X, Wang. Z, and Yang. P, A case study of signal-to-noise ratio in ring based optical networks-on-chip, IEEE Des. Test Comput, vol. 31, no. 5, 5565, 2014.
  • [18] Dong. P, Qian. W, Liao. S, Liang. H, Kung. C.C, Feng. N.N, and Shafi. R, Low loss silicon waveguides for application of optical interconnects, Proceeding of the IEEE Photonics Society Summer Topical Meeting Series. 191192.,2010 , Playa del Carmen, Mexico.
  • [19] Xie. Y, Nikdast. M, Xu. J, Wu. X, Zhang. W, Ye. Y, Wang. X, Wang. Z, and Liu. W, Formal worst-case analysis of crosstalk noise in mesh-based optical networks-on-chip,IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 21, no. 10, 18231836, 2013.
  • [20] Nikdast. M, Luan. H.K.D, Xiaowen. W, Zhehui. W, Xuan. W, and Zhe. W, Fat-Tree-Based Optical Interconnection Networks Under Crosstalk Noise Constraint, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Volume: 23, Issue:1, 156 - 169, 2015.
Uwagi
Opracowanie rekordu w ramach umowy 509/P-DUN/2018 ze środków MNiSW przeznaczonych na działalność upowszechniającą naukę (2019).
Typ dokumentu
Bibliografia
Identyfikator YADDA
bwmeta1.element.baztech-3578b0c0-5e59-443b-8f33-bcc2861b996d
JavaScript jest wyłączony w Twojej przeglądarce internetowej. Włącz go, a następnie odśwież stronę, aby móc w pełni z niej korzystać.