PL EN


Preferencje help
Widoczny [Schowaj] Abstrakt
Liczba wyników
Tytuł artykułu

Obliczanie modułu liczby zespolonej w FPGA z użyciem algorytmu CORDIC

Autorzy
Treść / Zawartość
Identyfikatory
Warianty tytułu
EN
Computation of magnitude of complex number in FPGA using cordic
Konferencja
Computer Applications in Electrical Engineering (20-21.04.2015 ; Poznań, Polska)
Języki publikacji
PL
Abstrakty
PL
W pracy przedstawiono obliczanie modułu liczb zespolonych z użyciem zmodyfikowanej wersji algorytmu CORDIC przy zastosowaniu pięciu stopni iteracyjnych. Zaprezentowano zależność wielkości błędu od liczby stopni algorytmu CORDIC dla arytmetyki zmiennoprzecinkowej jak również zbadano wpływ użycia arytmetyki całkowitej. Zaproponowana modyfikacja algorytmu CORDIC dla arytmetyki całkowitej polega na wprowadzeniu korekcji po zakończeniu podstawowych obliczeń w celu zmniejszenia maksymalnego błędu. Wartość korekcji jest ustalana na podstawie stosunku współrzędnych uzyskanych po piątym stopniu iteracyjnym. Korekcja pozwala na około dwukrotną redukcję błędu maksymalnego. W pracy pokazano też przykładową architekturę układu realizującego zmodyfikowany algorytm w układzie FPGA.
EN
The work presents computation of the magnitude of complex numbers with a modified version of the CORDIC algorithm using five iteration steps. A relationship between the error and the number of CORDIC iterations for floating point arithmetic was examined as well as the impact of using the integer arithmetic. The proposed modification of the algorithm for integer arithmetic relies upon the introduction of a correction after performing the assumed number CORDIC iterations The correction value is established upon the approximate quotient of coordinates obtained after the fifth iteration step. Such correction allows to reduce the maximum error approximately by half. The architecture implementing the algorithm in the FPGA is also shown.
Słowa kluczowe
Rocznik
Tom
Strony
161--171
Opis fizyczny
Bibliogr. 16 poz., rys., tab.
Twórcy
autor
  • Politechnika Gdańska
autor
  • Politechnika Gdańska
Bibliografia
  • [1] Kwon T., Sondeen J., Draper J.: Floating-point division and square root using a Taylor-series expansion algorithm. In 50th Midwest Symposium on Circuits and Systems, MWSCAS 2007, pp. 305–308, 2007.
  • [2] Kosheleva O.: Babylonian method of computing the square root: Justifications based on fuzzy techniques and on computational complexity. In Fuzzy Information Processing Society, NAFIPS 2009, pp. 1–6, 2009.
  • [3] Ercegovac M.D.: On Digit-by-Digit Methods for Computing Certain Functions. In Conference Record of the 41th Asilomar Conference on Signals, Systems and Computers, ACSSC 2007, pp. 338–342, 2007.
  • [4] Montuschi P., Mezzalama M.: Survey of square rooting algorithms. Comput. Digit. Tech. IEE Proc. E, vol. 137, no. 1, pp. 31–40, Jan. 1990.
  • [5] Sutikno T.: An efficient implementation of the nonrestoring square root algorithm in gate level. Int. Journal Comput. Theory Eng., vol. 3, no. 1, pp. 46–51, 2011.
  • [6] Sutikno T., Jidin Z.: Simplified VHDL Coding of modified nonrestoring square root calculator. Int. J. Reconfigurable Embed. Syst., vol. 1, no. 1, pp. 37–42, 2012.
  • [7] Sajid Ahmed M., Ziavras S.G.: Pipelined implementation of fixed point square root in FPGA using modified non-restoring algorithm. In 2010 2nd International Conference on Computer and Automation Engineering (ICCAE), vol. 3, pp. 226–230., 2010.
  • [8] Kabuo H., Taniguchi T., Miyoshi A., Yamashita H., Urano M., Edamatsu H., Kuninobu S.: Accurate rounding scheme for the Newton-Raphson method using redundant binary representation. IEEE Trans. Comput., vol. 43, no. 1, pp. 43–51, Jan. 1994.
  • [9] Filip A.E: Linear approximations to sqrt(x2+y2) having equiripple error characteristics. IEEE Trans. Audio Electroacoustics, vol. 21, no. 6, pp. 554–556, Dec. 1973.
  • [10] Czyżak M., Smyk R.: FPGA realization of an improved alpha max plus beta min algorithm. Poznan University of Technology Academic Journals Electrical Engineering, vol. 80, pp. 151–160, 2014.
  • [11] Volder J.E.: The CORDIC Trigonometric Technique: IRE Transactions on Electronic Computers, pp. 330-334, Sept. 1959.
  • [12] Walther J.S.: A unified algorithm for elementary functions. In Proc. of Sprint Joint Computer Conference, pp. 379–385, May 1971.
  • [13] Ye M., Liu T., Ye Y., Xu G., Xu T.: FPGA Implementation of CORDIC-Based Square Root Operation for Parameter Extraction of Digital Pre-Distortion for Power Amplifiers. In 2010 6th International Conference on Wireless Communications Networking and Mobile Computing (WiCOM), pp. 1–4, 2010.
  • [14] Meher P.K., Vallis J., Tso-Bing Juang, Sridharan K., Maharanta K.: 50 Years of CORDIC: Algorithms, Architectures, and Applications. IEEE Trans. Circuits Syst. Regul. Pap., vol. 56, no. 9, pp. 1893–1907, Sept. 2009.
  • [15] Xilinx: LogiCORE IP CORDIC v4.0. Product specification. www.xilinx.com March 2011.
  • [16] Xilinx:Virtex-6. www.xilinx.com/products/silicon-devices/fpga/virtex-6.html, Feb. 2015.
Typ dokumentu
Bibliografia
Identyfikator YADDA
bwmeta1.element.baztech-23a1d211-685f-4bbb-b652-c110e3aa0f86
JavaScript jest wyłączony w Twojej przeglądarce internetowej. Włącz go, a następnie odśwież stronę, aby móc w pełni z niej korzystać.